aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2020-06-13 19:07:41 +0200
committerTristan Gingold <tgingold@free.fr>2020-06-13 19:17:53 +0200
commit0316f95368837dc163173e7ca52f37ecd8d3591d (patch)
tree20b270a558a233f9e7b2986219da39b21f1fbeb4 /testsuite
parentd3c280b0b572db2e888d15df2fa45b6a1d622f55 (diff)
downloadghdl-0316f95368837dc163173e7ca52f37ecd8d3591d.tar.gz
ghdl-0316f95368837dc163173e7ca52f37ecd8d3591d.tar.bz2
ghdl-0316f95368837dc163173e7ca52f37ecd8d3591d.zip
testsuite/gna: add a test for #1354
Diffstat (limited to 'testsuite')
-rw-r--r--testsuite/gna/issue1354/mwe.vhdl19
-rwxr-xr-xtestsuite/gna/issue1354/testsuite.sh11
2 files changed, 30 insertions, 0 deletions
diff --git a/testsuite/gna/issue1354/mwe.vhdl b/testsuite/gna/issue1354/mwe.vhdl
new file mode 100644
index 000000000..1b4d89dfb
--- /dev/null
+++ b/testsuite/gna/issue1354/mwe.vhdl
@@ -0,0 +1,19 @@
+library ieee;
+context ieee.ieee_std_context;
+use ieee.math_real.all;
+use ieee.numeric_std_unsigned.all;
+
+entity mwe is
+end entity;
+
+architecture a of mwe is
+begin
+ process
+ variable v_real : real := 10.0e6;
+ begin
+ report "some=" & to_string(25000000);
+ report "some=" & to_string(25.0e6);
+ report "some=" & to_string(real(v_real));
+ wait;
+ end process;
+end;
diff --git a/testsuite/gna/issue1354/testsuite.sh b/testsuite/gna/issue1354/testsuite.sh
new file mode 100755
index 000000000..4ade39fc0
--- /dev/null
+++ b/testsuite/gna/issue1354/testsuite.sh
@@ -0,0 +1,11 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+export GHDL_STD_FLAGS=--std=08
+analyze mwe.vhdl
+elab_simulate mwe
+
+clean
+
+echo "Test successful"