aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite')
-rw-r--r--testsuite/gna/issue1338/repro.vhdl21
-rwxr-xr-xtestsuite/gna/issue1338/testsuite.sh10
2 files changed, 31 insertions, 0 deletions
diff --git a/testsuite/gna/issue1338/repro.vhdl b/testsuite/gna/issue1338/repro.vhdl
new file mode 100644
index 000000000..dbbbc4b21
--- /dev/null
+++ b/testsuite/gna/issue1338/repro.vhdl
@@ -0,0 +1,21 @@
+library ieee;
+use ieee.std_logic_1164.all;
+use ieee.numeric_std.all;
+
+entity repro is
+end repro;
+
+architecture behav of repro is
+ signal s : unsigned (3 downto 0);
+ signal n : integer;
+begin
+ process
+ begin
+ for i in 1 to 5 loop
+ n <= to_integer(s);
+ s <= s + 1;
+ wait for 1 ns;
+ end loop;
+ wait;
+ end process;
+end behav;
diff --git a/testsuite/gna/issue1338/testsuite.sh b/testsuite/gna/issue1338/testsuite.sh
new file mode 100755
index 000000000..012985e3e
--- /dev/null
+++ b/testsuite/gna/issue1338/testsuite.sh
@@ -0,0 +1,10 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+analyze repro.vhdl
+elab_simulate repro --backtrace-severity=warning
+
+clean
+
+echo "Test successful"