aboutsummaryrefslogtreecommitdiffstats
path: root/src/synth/synth-ieee-numeric_std.adb
Commit message (Expand)AuthorAgeFilesLines
* synth: handle static resize from std_numeric. Fix #1531Tristan Gingold2020-12-061-2/+2
* synth: handle static resize. For #1460Tristan Gingold2020-09-201-0/+29
* synth: add support for static numeric_std division.Tristan Gingold2020-07-301-0/+178
* synth: move static comparison functions to synth.ieee.numericTristan Gingold2020-05-171-0/+276
* synth: handle static shift in synth.ieee.numeric_std.Tristan Gingold2020-05-171-0/+51
* synth-ieee-numeric_std: also use memtyp for negation.Tristan Gingold2020-05-161-17/+17
* synth: use memtyp for synth-ieee-numeric_std, add more signed mul.Tristan Gingold2020-05-161-272/+281
* synth: handle static calls to signed numeric_std + -. Fix #1313Tristan Gingold2020-05-161-0/+79
* synth: implement static sub_sgn_int. Fix #1265Tristan Gingold2020-04-261-0/+31
* synth-static_oper: handle mul uns nat. (#1200)bwimm2020-04-071-0/+13
* synth-static_oper: handle mul nat uns. Fix #1179Tristan Gingold2020-04-011-0/+27
* synth: handle static sub uns/nat.Tristan Gingold2020-03-131-0/+31
* synth: handle static "-" for unsigned. For of #1087Tristan Gingold2020-01-131-0/+38
* synth: add static neg for signed.Tristan Gingold2019-12-031-0/+28
* synth: handle static mul sgn sgn.Tristan Gingold2019-11-161-0/+55
* synth: handle static mul uns uns. Fix bit order for add.Tristan Gingold2019-11-161-12/+52
* synth: handle static add uns nat.Tristan Gingold2019-11-161-0/+31
* synth: handle static add sgn int.Tristan Gingold2019-11-161-0/+36
* synth: handle static unsigned/unsigned add.Tristan Gingold2019-11-131-0/+79