aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1631/bug2.vhdl
blob: 14dd147342b7ef37f355240b9e3948134d1affeb (plain)
1
2
3
4
5
6
7
8
9
10
library ieee;
use ieee.std_logic_1164.all;

entity bug2 is
end;

architecture behavior of bug2 is
begin
    std_logic(1 downto 0);
end behavior;