aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1631/bug2.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/issue1631/bug2.vhdl')
-rw-r--r--testsuite/gna/issue1631/bug2.vhdl10
1 files changed, 10 insertions, 0 deletions
diff --git a/testsuite/gna/issue1631/bug2.vhdl b/testsuite/gna/issue1631/bug2.vhdl
new file mode 100644
index 000000000..14dd14734
--- /dev/null
+++ b/testsuite/gna/issue1631/bug2.vhdl
@@ -0,0 +1,10 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity bug2 is
+end;
+
+architecture behavior of bug2 is
+begin
+ std_logic(1 downto 0);
+end behavior;