aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue2/repro2.vhdl
blob: ae989a7a9d68c101e6b02e66f8dbbec55cba20a5 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
entity repro2 is
  generic (depth : natural := 7);
  port (foo: in boolean);
end entity;

architecture foo of repro2 is
    signal foo_int: boolean;
begin
  cond: if depth > 0 generate
    FUMBLE:
      entity work.repro2
        generic map (depth => depth - 1)
        port map (foo => foo_int);
  end generate;
end architecture;