aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue2/repro2.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/issue2/repro2.vhdl')
-rw-r--r--testsuite/gna/issue2/repro2.vhdl15
1 files changed, 15 insertions, 0 deletions
diff --git a/testsuite/gna/issue2/repro2.vhdl b/testsuite/gna/issue2/repro2.vhdl
new file mode 100644
index 000000000..ae989a7a9
--- /dev/null
+++ b/testsuite/gna/issue2/repro2.vhdl
@@ -0,0 +1,15 @@
+entity repro2 is
+ generic (depth : natural := 7);
+ port (foo: in boolean);
+end entity;
+
+architecture foo of repro2 is
+ signal foo_int: boolean;
+begin
+ cond: if depth > 0 generate
+ FUMBLE:
+ entity work.repro2
+ generic map (depth => depth - 1)
+ port map (foo => foo_int);
+ end generate;
+end architecture;