aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue642/repro.vhdl
blob: e1288f47ae97025bc85f6584550e4f618ad5e1a0 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
entity repro is
end entity;

architecture a of repro is
	signal Combined : bit_vector(16 downto 0);
	
	signal SingleBit : bit;
	signal Part1     : bit_vector(7 downto 0);
	signal Part2     : bit_vector(7 downto 0);
begin
	process
	begin
	  (Part1, Part2)            <= Combined(Combined'left - 1 downto 0);
	  (SingleBit, Part1, Part2) <= Combined;
          wait;
        end process;
end architecture;