aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue648/repro.vhdl
blob: fe23ad7f79045e2b808b0187b2587fef50d40a07 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
entity repro is
end entity;

architecture h of repro is
  constant L :positive := 9 + now / 1 ns;
  signal   s :bit_vector(31 downto 0);
  alias    a :bit_vector(L-1 downto 2) is s(L-1 downto 2);
  signal   b :bit_vector(L-1 downto 2);
begin
  a <= b;
end architecture;