aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue691/tb.vhdl
blob: 5cf0ed33ec2459625bb93c9f1376ed55dea485ca (plain)
1
2
3
4
5
6
7
8
9
10
11
12
library ieee, std;
use ieee.std_logic_1164.all;

entity e1 is port(number: in std_logic_vector(15 downto 0)); end e1;

library ieee;
use ieee.std_logic_1164.all;

entity tb is end entity;
architecture arch of tb is begin
    DS: entity work.e1 port map (number => std_logic_vector(1, 15));
end arch;