aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1591/repro4.vhdl
blob: 44f06e6511be9f344f06f5bace42632c0fbb9596 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
entity repro4 is
  port (o : out boolean);
end entity repro4;

architecture arch of repro4 is
begin
  testG : if true generate
    signal b : boolean := true;
  begin
    o <= b;
  end generate testG;
end;