aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1596/ent.vhdl
blob: ff86d620badbfaf17c1b7ebbff2de7918291bb2b (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
library ieee;
use ieee.std_logic_1164.all;

-- Instatiated component ---
entity v is
	-- input is unconstrained
	port (input : in std_logic_vector);
end;

architecture RTL of v is
	constant bits : positive := 4;
	signal i : std_logic_vector(bits - 1 downto 0);
begin
	i <= input;
end;