aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1596/ent_bug.vhdl
blob: 3002995cfd3c64156daaef1deb3ff959f42feb71 (plain)
1
2
3
4
5
6
7
8
9
10
11
library ieee;
use ieee.std_logic_1164.all;
use work.v;

-- TOP BUG ---
entity ent_bug is end;
architecture RTL of ent_bug is
begin
	inst_v : entity v
	port map (input => "0000");
end;