aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1596/ent_bug.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/issue1596/ent_bug.vhdl')
-rw-r--r--testsuite/synth/issue1596/ent_bug.vhdl11
1 files changed, 11 insertions, 0 deletions
diff --git a/testsuite/synth/issue1596/ent_bug.vhdl b/testsuite/synth/issue1596/ent_bug.vhdl
new file mode 100644
index 000000000..3002995cf
--- /dev/null
+++ b/testsuite/synth/issue1596/ent_bug.vhdl
@@ -0,0 +1,11 @@
+library ieee;
+use ieee.std_logic_1164.all;
+use work.v;
+
+-- TOP BUG ---
+entity ent_bug is end;
+architecture RTL of ent_bug is
+begin
+ inst_v : entity v
+ port map (input => "0000");
+end;