aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1675/testsuite.sh
blob: 833a1182fe22d860326a0c5b314817b27d649df9 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
#! /bin/sh

. ../../testenv.sh

# accum
synth_tb accum pkg.vhdl

# accumwr
synth_tb accumwr pkg.vhdl accum.vhdl

# patgen
synth_tb patgen pkg.vhdl

# patacc
synth_tb patacc pkg.vhdl patgen.vhdl

echo "Test successful"