aboutsummaryrefslogtreecommitdiffstats
path: root/icefuzz/tests/sb_rgba_drv.v
diff options
context:
space:
mode:
authorDavid Shah <davey1576@gmail.com>2017-10-23 17:48:22 +0100
committerDavid Shah <davey1576@gmail.com>2017-10-23 17:48:22 +0100
commit81e0d3c361e1b639064e07ff7efd1b8232090e0c (patch)
tree6041ea53d896750a68bbbb3747e00c61919fde4e /icefuzz/tests/sb_rgba_drv.v
parentbf21b644984656fdea6ec2609b22a74f3296115b (diff)
downloadicestorm-81e0d3c361e1b639064e07ff7efd1b8232090e0c.tar.gz
icestorm-81e0d3c361e1b639064e07ff7efd1b8232090e0c.tar.bz2
icestorm-81e0d3c361e1b639064e07ff7efd1b8232090e0c.zip
Add some verilog tests for analysing up5k features
Diffstat (limited to 'icefuzz/tests/sb_rgba_drv.v')
-rw-r--r--icefuzz/tests/sb_rgba_drv.v32
1 files changed, 32 insertions, 0 deletions
diff --git a/icefuzz/tests/sb_rgba_drv.v b/icefuzz/tests/sb_rgba_drv.v
new file mode 100644
index 0000000..e5a0c36
--- /dev/null
+++ b/icefuzz/tests/sb_rgba_drv.v
@@ -0,0 +1,32 @@
+module top(
+ input r_in,
+ input g_in,
+ input b_in,
+ output r_led,
+ output g_led,
+ output b_led);
+
+ wire curren;
+ wire rgbleden;
+
+ SB_RGBA_DRV RGBA_DRIVER (
+ .CURREN(curren),
+ .RGBLEDEN(rgbleden),
+ .RGB0PWM(r_in),
+ .RGB1PWM(r_in),
+ .RGB2PWM(r_in),
+ .RGB0(r_led),
+ .RGB1(g_led),
+ .RGB2(b_led)
+ );
+
+defparam RGBA_DRIVER.CURRENT_MODE = "0b0";
+defparam RGBA_DRIVER.RGB0_CURRENT = "0b000011";
+defparam RGBA_DRIVER.RGB1_CURRENT = "0b001111";
+defparam RGBA_DRIVER.RGB2_CURRENT = "0b111111";
+
+assign curren = 1'b1;
+assign rgbleden = 1'b1;
+
+
+endmodule \ No newline at end of file