aboutsummaryrefslogtreecommitdiffstats
path: root/icefuzz
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2016-01-01 15:08:41 +0100
committerClifford Wolf <clifford@clifford.at>2016-01-01 15:08:41 +0100
commit804e47d8ff5651b579f6c43fcb96b569e0cbcdc5 (patch)
tree797ba1afb5713951983b937133dd7ad5128f5ab8 /icefuzz
parented545ce4ce569bb96b2cba017daf2731afdaa926 (diff)
downloadicestorm-804e47d8ff5651b579f6c43fcb96b569e0cbcdc5.tar.gz
icestorm-804e47d8ff5651b579f6c43fcb96b569e0cbcdc5.tar.bz2
icestorm-804e47d8ff5651b579f6c43fcb96b569e0cbcdc5.zip
Renamed IceBox .txt files to .asc files
Diffstat (limited to 'icefuzz')
-rw-r--r--icefuzz/check.sh4
-rw-r--r--icefuzz/icecube.sh2
-rw-r--r--icefuzz/pinloc/pinloc-1k-cb132.sh2
-rw-r--r--icefuzz/pinloc/pinloc-1k-qn84.sh2
-rw-r--r--icefuzz/pinloc/pinloc-1k-tq144.sh2
-rw-r--r--icefuzz/pinloc/pinloc-1k-vq100.sh2
-rw-r--r--icefuzz/pinloc/pinloc-8k-ct256.sh2
-rw-r--r--icefuzz/tests/colbuf_io.sh2
-rw-r--r--icefuzz/tests/colbuf_io_8k.sh2
-rw-r--r--icefuzz/tests/colbuf_logic.sh2
-rw-r--r--icefuzz/tests/colbuf_logic_8k.sh2
-rw-r--r--icefuzz/tests/colbuf_ram.sh2
-rw-r--r--icefuzz/tests/colbuf_ram_8k.sh2
-rw-r--r--icefuzz/tests/io_latched.sh2
-rw-r--r--icefuzz/tests/ioctrl.sh2
-rw-r--r--icefuzz/tests/test_pio.sh2
16 files changed, 17 insertions, 17 deletions
diff --git a/icefuzz/check.sh b/icefuzz/check.sh
index bb23cea..bbe76f7 100644
--- a/icefuzz/check.sh
+++ b/icefuzz/check.sh
@@ -8,8 +8,8 @@ for id; do
if test -f $id.pcf; then icebox_vlog_opts="$icebox_vlog_opts -p $id.pcf"; fi
if test -f $id.psb; then icebox_vlog_opts="$icebox_vlog_opts -P $id.psb"; fi
- ../icepack/iceunpack $id.bin $id.txt
- ../icebox/icebox_vlog.py $icebox_vlog_opts $id.txt > $id.ve
+ ../icepack/iceunpack $id.bin $id.asc
+ ../icebox/icebox_vlog.py $icebox_vlog_opts $id.asc > $id.ve
yosys -p "
read_verilog $id.v
diff --git a/icefuzz/icecube.sh b/icefuzz/icecube.sh
index 39f405b..213e039 100644
--- a/icefuzz/icecube.sh
+++ b/icefuzz/icecube.sh
@@ -198,5 +198,5 @@ cp "$1.tmp"/outputs/bitmap/top_bitmap_glb.txt "$1.glb"
cp "$1.tmp"/outputs/placer/top_sbt.pcf "$1.psb"
cp "$1.tmp"/outputs/netlist/top_sbt.v "$1.vsb"
cp "$1.tmp"/outputs/netlist/top_sbt.sdf "$1.sdf"
-$scriptdir/../icepack/iceunpack "$1.bin" "$1.txt"
+$scriptdir/../icepack/iceunpack "$1.bin" "$1.asc"
diff --git a/icefuzz/pinloc/pinloc-1k-cb132.sh b/icefuzz/pinloc/pinloc-1k-cb132.sh
index 07c1f06..19e05c3 100644
--- a/icefuzz/pinloc/pinloc-1k-cb132.sh
+++ b/icefuzz/pinloc/pinloc-1k-cb132.sh
@@ -23,7 +23,7 @@ pins="
echo "set_io y ${pin}" >> ${id}.pcf
echo; echo "${id}.exp:"
echo " ICEDEV=hx1k-cb132 bash ../icecube.sh ${id} > ${id}.log 2>&1"
- echo " ../../icebox/icebox_explain.py ${id}.txt > ${id}.exp.new"
+ echo " ../../icebox/icebox_explain.py ${id}.asc > ${id}.exp.new"
echo " rm -rf ${id}.tmp"
echo " mv ${id}.exp.new ${id}.exp"
done
diff --git a/icefuzz/pinloc/pinloc-1k-qn84.sh b/icefuzz/pinloc/pinloc-1k-qn84.sh
index e46743c..308fd5d 100644
--- a/icefuzz/pinloc/pinloc-1k-qn84.sh
+++ b/icefuzz/pinloc/pinloc-1k-qn84.sh
@@ -22,7 +22,7 @@ pins="
echo "set_io y ${pin}" >> ${id}.pcf
echo; echo "${id}.exp:"
echo " ICEDEV=lp1k-qn84 bash ../icecube.sh ${id} > ${id}.log 2>&1"
- echo " ../../icebox/icebox_explain.py ${id}.txt > ${id}.exp.new"
+ echo " ../../icebox/icebox_explain.py ${id}.asc > ${id}.exp.new"
echo " rm -rf ${id}.tmp"
echo " mv ${id}.exp.new ${id}.exp"
done
diff --git a/icefuzz/pinloc/pinloc-1k-tq144.sh b/icefuzz/pinloc/pinloc-1k-tq144.sh
index 463e052..a2f8819 100644
--- a/icefuzz/pinloc/pinloc-1k-tq144.sh
+++ b/icefuzz/pinloc/pinloc-1k-tq144.sh
@@ -21,7 +21,7 @@ pins="
echo "set_io y ${pin}" >> ${id}.pcf
echo; echo "${id}.exp:"
echo " ICEDEV=hx1k-tq144 bash ../icecube.sh ${id} > ${id}.log 2>&1"
- echo " ../../icebox/icebox_explain.py ${id}.txt > ${id}.exp.new"
+ echo " ../../icebox/icebox_explain.py ${id}.asc > ${id}.exp.new"
echo " rm -rf ${id}.tmp"
echo " mv ${id}.exp.new ${id}.exp"
done
diff --git a/icefuzz/pinloc/pinloc-1k-vq100.sh b/icefuzz/pinloc/pinloc-1k-vq100.sh
index 13df585..403d8e3 100644
--- a/icefuzz/pinloc/pinloc-1k-vq100.sh
+++ b/icefuzz/pinloc/pinloc-1k-vq100.sh
@@ -21,7 +21,7 @@ pins="
echo "set_io y ${pin}" >> ${id}.pcf
echo; echo "${id}.exp:"
echo " ICEDEV=hx1k-vq100 bash ../icecube.sh ${id} > ${id}.log 2>&1"
- echo " ../../icebox/icebox_explain.py ${id}.txt > ${id}.exp.new"
+ echo " ../../icebox/icebox_explain.py ${id}.asc > ${id}.exp.new"
echo " rm -rf ${id}.tmp"
echo " mv ${id}.exp.new ${id}.exp"
done
diff --git a/icefuzz/pinloc/pinloc-8k-ct256.sh b/icefuzz/pinloc/pinloc-8k-ct256.sh
index d8da2dc..69baaec 100644
--- a/icefuzz/pinloc/pinloc-8k-ct256.sh
+++ b/icefuzz/pinloc/pinloc-8k-ct256.sh
@@ -33,7 +33,7 @@ pins="
echo "set_io y ${pin}" >> ${id}.pcf
echo; echo "${id}.exp:"
echo " ICEDEV=hx8k-ct256 bash ../icecube.sh ${id} > ${id}.log 2>&1"
- echo " ../../icebox/icebox_explain.py ${id}.txt > ${id}.exp.new"
+ echo " ../../icebox/icebox_explain.py ${id}.asc > ${id}.exp.new"
echo " rm -rf ${id}.tmp"
echo " mv ${id}.exp.new ${id}.exp"
done
diff --git a/icefuzz/tests/colbuf_io.sh b/icefuzz/tests/colbuf_io.sh
index 2cc8387..7957ed7 100644
--- a/icefuzz/tests/colbuf_io.sh
+++ b/icefuzz/tests/colbuf_io.sh
@@ -32,7 +32,7 @@ for pin in $pins; do
echo "set_io pin $pin" > ${pf}.pcf
echo "set_io clk $gpin" >> ${pf}.pcf
bash ../../icecube.sh ${pf}.v > ${pf}.log 2>&1
- ../../../icebox/icebox_explain.py ${pf}.txt > ${pf}.exp
+ ../../../icebox/icebox_explain.py ${pf}.asc > ${pf}.exp
rm -rf ${pf}.tmp
done
diff --git a/icefuzz/tests/colbuf_io_8k.sh b/icefuzz/tests/colbuf_io_8k.sh
index 06d9d1d..3eb111f 100644
--- a/icefuzz/tests/colbuf_io_8k.sh
+++ b/icefuzz/tests/colbuf_io_8k.sh
@@ -44,7 +44,7 @@ for pin in $pins; do
echo "set_io pin $pin" > ${pf}.pcf
echo "set_io clk $gpin" >> ${pf}.pcf
ICEDEV=hx8k-ct256 bash ../../icecube.sh ${pf}.v > ${pf}.log 2>&1
- ../../../icebox/icebox_explain.py ${pf}.txt > ${pf}.exp
+ ../../../icebox/icebox_explain.py ${pf}.asc > ${pf}.exp
rm -rf ${pf}.tmp
done
diff --git a/icefuzz/tests/colbuf_logic.sh b/icefuzz/tests/colbuf_logic.sh
index 76676a4..f501afd 100644
--- a/icefuzz/tests/colbuf_logic.sh
+++ b/icefuzz/tests/colbuf_logic.sh
@@ -23,7 +23,7 @@ for y in {1..16}; do
echo "set_location dff $x $y 0" > ${pf}.pcf
echo "set_io c $gpin" >> ${pf}.pcf
bash ../../icecube.sh ${pf}.v > ${pf}.log 2>&1
- ../../../icebox/icebox_explain.py ${pf}.txt > ${pf}.exp
+ ../../../icebox/icebox_explain.py ${pf}.asc > ${pf}.exp
rm -rf ${pf}.tmp
done; done
diff --git a/icefuzz/tests/colbuf_logic_8k.sh b/icefuzz/tests/colbuf_logic_8k.sh
index 135053b..b4a0348 100644
--- a/icefuzz/tests/colbuf_logic_8k.sh
+++ b/icefuzz/tests/colbuf_logic_8k.sh
@@ -23,7 +23,7 @@ for y in {1..32}; do
echo "set_location dff $x $y 0" > ${pf}.pcf
echo "set_io c $gpin" >> ${pf}.pcf
ICEDEV=hx8k-ct256 bash ../../icecube.sh ${pf}.v > ${pf}.log 2>&1
- ../../../icebox/icebox_explain.py ${pf}.txt > ${pf}.exp
+ ../../../icebox/icebox_explain.py ${pf}.asc > ${pf}.exp
rm -rf ${pf}.tmp
done; done
diff --git a/icefuzz/tests/colbuf_ram.sh b/icefuzz/tests/colbuf_ram.sh
index 7dc2f04..c808902 100644
--- a/icefuzz/tests/colbuf_ram.sh
+++ b/icefuzz/tests/colbuf_ram.sh
@@ -51,7 +51,7 @@ for y in {1..16}; do
echo "set_io oc 1" >> ${pf}.pcf
echo "set_io c $gpin" >> ${pf}.pcf
bash ../../icecube.sh ${pf}.v > ${pf}.log 2>&1
- ../../../icebox/icebox_explain.py ${pf}.txt > ${pf}.exp
+ ../../../icebox/icebox_explain.py ${pf}.asc > ${pf}.exp
rm -rf ${pf}.tmp
done; done
diff --git a/icefuzz/tests/colbuf_ram_8k.sh b/icefuzz/tests/colbuf_ram_8k.sh
index 56c5825..9c86963 100644
--- a/icefuzz/tests/colbuf_ram_8k.sh
+++ b/icefuzz/tests/colbuf_ram_8k.sh
@@ -51,7 +51,7 @@ for y in {1..32}; do
echo "set_io oc 1" >> ${pf}.pcf
echo "set_io c $gpin" >> ${pf}.pcf
ICEDEV=hx8k-ct256 bash ../../icecube.sh ${pf}.v > ${pf}.log 2>&1
- ../../../icebox/icebox_explain.py ${pf}.txt > ${pf}.exp
+ ../../../icebox/icebox_explain.py ${pf}.asc > ${pf}.exp
rm -rf ${pf}.tmp
done; done
diff --git a/icefuzz/tests/io_latched.sh b/icefuzz/tests/io_latched.sh
index 7db5268..73da92d 100644
--- a/icefuzz/tests/io_latched.sh
+++ b/icefuzz/tests/io_latched.sh
@@ -23,6 +23,6 @@ for pin in $pins; do
echo "set_io data_out $pin_data"
} > ${pf}.pcf
bash ../../icecube.sh ${pf}.v
- ../../../icebox/icebox_vlog.py -SP ${pf}.psb ${pf}.txt > ${pf}.ve
+ ../../../icebox/icebox_vlog.py -SP ${pf}.psb ${pf}.asc > ${pf}.ve
done
diff --git a/icefuzz/tests/ioctrl.sh b/icefuzz/tests/ioctrl.sh
index d1acfe8..b25e617 100644
--- a/icefuzz/tests/ioctrl.sh
+++ b/icefuzz/tests/ioctrl.sh
@@ -18,7 +18,7 @@ for pin in $pins; do
echo "module top (output pin); assign pin = 1; endmodule" > ${pf}.v
echo "set_io pin $pin" > ${pf}.pcf
bash ../../icecube.sh ${pf}.v > ${pf}.log 2>&1
- ../../../icebox/icebox_explain.py ${pf}.txt > ${pf}.exp
+ ../../../icebox/icebox_explain.py ${pf}.asc > ${pf}.exp
done
set +x
diff --git a/icefuzz/tests/test_pio.sh b/icefuzz/tests/test_pio.sh
index b6fd2b9..4232ca3 100644
--- a/icefuzz/tests/test_pio.sh
+++ b/icefuzz/tests/test_pio.sh
@@ -49,7 +49,7 @@ for OUTTYPE in 0000 0110 1010 1110 0101 1001 1101 \
EOT
bash ../../icecube.sh ${pf}.v > ${pf}.log 2>&1
fi
- python3 ../../../icebox/icebox_vlog.py -P ${pf}.psb ${pf}.txt > ${pf}_out.v
+ python3 ../../../icebox/icebox_vlog.py -P ${pf}.psb ${pf}.asc > ${pf}_out.v
iverilog -D"VCDFILE=\"${pf}_tb.vcd\"" -DINTYPE=${INTYPE} -o ${pf}_tb \
-s testbench ../test_pio_tb.v ${pf}.v ${pf}_out.v $lattice_simlib 2> /dev/null
./${pf}_tb > ${pf}_tb.txt