aboutsummaryrefslogtreecommitdiffstats
path: root/icefuzz
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2016-02-06 12:47:50 +0100
committerClifford Wolf <clifford@clifford.at>2016-02-06 12:47:50 +0100
commitb18dfa959816959de5db0c4dcdd695d14b1eb640 (patch)
treebae2249c632fef1c8a4aee5943ef5535705afb68 /icefuzz
parent2551db6f555e642e3485e3035767fdef07eaca0d (diff)
downloadicestorm-b18dfa959816959de5db0c4dcdd695d14b1eb640.tar.gz
icestorm-b18dfa959816959de5db0c4dcdd695d14b1eb640.tar.bz2
icestorm-b18dfa959816959de5db0c4dcdd695d14b1eb640.zip
Added 8k-cm225 package
Diffstat (limited to 'icefuzz')
-rw-r--r--icefuzz/pinloc/pinloc-1k-cb132.sh9
-rw-r--r--icefuzz/pinloc/pinloc-1k-qn84.sh9
-rw-r--r--icefuzz/pinloc/pinloc-1k-tq144.sh9
-rw-r--r--icefuzz/pinloc/pinloc-1k-vq100.sh9
-rw-r--r--icefuzz/pinloc/pinloc-4k-cb132.sh9
-rw-r--r--icefuzz/pinloc/pinloc-4k-tq144.sh9
-rw-r--r--icefuzz/pinloc/pinloc-8k-cb132.sh9
-rw-r--r--icefuzz/pinloc/pinloc-8k-cm225.sh53
-rw-r--r--icefuzz/pinloc/pinloc-8k-ct256.sh10
9 files changed, 102 insertions, 24 deletions
diff --git a/icefuzz/pinloc/pinloc-1k-cb132.sh b/icefuzz/pinloc/pinloc-1k-cb132.sh
index 14d7d62..655327c 100644
--- a/icefuzz/pinloc/pinloc-1k-cb132.sh
+++ b/icefuzz/pinloc/pinloc-1k-cb132.sh
@@ -1,5 +1,8 @@
#!/bin/bash
+mkdir -p pinloc-1k-cb132
+cd pinloc-1k-cb132
+
pins="
A1 A2 A4 A5 A6 A7 A10 A12 B1 B14
C1 C3 C4 C5 C6 C7 C8 C9 C10 C11 C12 C14
@@ -30,8 +33,8 @@ fi
echo "module top(output y); assign y = 0; endmodule" > ${id}.v
echo "set_io y ${pin}" >> ${id}.pcf
echo; echo "${id}.exp:"
- echo " ICEDEV=hx1k-cb132 bash ../icecube.sh ${id} > ${id}.log 2>&1"
- echo " ../../icebox/icebox_explain.py ${id}.asc > ${id}.exp.new"
+ echo " ICEDEV=hx1k-cb132 bash ../../icecube.sh ${id} > ${id}.log 2>&1"
+ echo " ../../../icebox/icebox_explain.py ${id}.asc > ${id}.exp.new"
echo " ! grep '^Warning: pin' ${id}.log"
echo " rm -rf ${id}.tmp"
echo " mv ${id}.exp.new ${id}.exp"
@@ -40,5 +43,5 @@ fi
set -ex
make -f pinloc-1k-cb132.mk -j4
-python3 pinlocdb.py pinloc-1k-cb132_*.exp > pinloc-1k-cb132.txt
+python3 ../pinlocdb.py pinloc-1k-cb132_*.exp > ../pinloc-1k-cb132.txt
diff --git a/icefuzz/pinloc/pinloc-1k-qn84.sh b/icefuzz/pinloc/pinloc-1k-qn84.sh
index cb5beca..a55b736 100644
--- a/icefuzz/pinloc/pinloc-1k-qn84.sh
+++ b/icefuzz/pinloc/pinloc-1k-qn84.sh
@@ -1,5 +1,8 @@
#!/bin/bash
+mkdir -p pinloc-1k-qn84
+cd pinloc-1k-qn84
+
pins="
A1 B1 A2 B2 A3 B3 A4 B4 A5 B5 A8 A9 B7 A10 B8 A11 B9 A12
A13 B10 B11 A14 B12 A16 B13 B14 A19 B15 A20 B17 A22 A23 B18 B19 A25 A26
@@ -26,8 +29,8 @@ fi
echo "module top(output y); assign y = 0; endmodule" > ${id}.v
echo "set_io y ${pin}" >> ${id}.pcf
echo; echo "${id}.exp:"
- echo " ICEDEV=lp1k-qn84 bash ../icecube.sh ${id} > ${id}.log 2>&1"
- echo " ../../icebox/icebox_explain.py ${id}.asc > ${id}.exp.new"
+ echo " ICEDEV=lp1k-qn84 bash ../../icecube.sh ${id} > ${id}.log 2>&1"
+ echo " ../../../icebox/icebox_explain.py ${id}.asc > ${id}.exp.new"
echo " ! grep '^Warning: pin' ${id}.log"
echo " rm -rf ${id}.tmp"
echo " mv ${id}.exp.new ${id}.exp"
@@ -36,5 +39,5 @@ fi
set -ex
make -f pinloc-1k-qn84.mk -j4
-python3 pinlocdb.py pinloc-1k-qn84_*.exp > pinloc-1k-qn84.txt
+python3 ../pinlocdb.py pinloc-1k-qn84_*.exp > ../pinloc-1k-qn84.txt
diff --git a/icefuzz/pinloc/pinloc-1k-tq144.sh b/icefuzz/pinloc/pinloc-1k-tq144.sh
index 3b6005b..77d4fe9 100644
--- a/icefuzz/pinloc/pinloc-1k-tq144.sh
+++ b/icefuzz/pinloc/pinloc-1k-tq144.sh
@@ -1,5 +1,8 @@
#!/bin/bash
+mkdir -p pinloc-1k-tq144
+cd pinloc-1k-tq144
+
pins="
1 2 3 4 7 8 9 10 11 12 19 20 21 22 23 24 25 26 28 29 31 32 33 34
37 38 39 41 42 43 44 45 47 48 49 50 52 56 58 60 61 62 63 64 67 68 70 71
@@ -25,8 +28,8 @@ fi
echo "module top(output y); assign y = 0; endmodule" > ${id}.v
echo "set_io y ${pin}" >> ${id}.pcf
echo; echo "${id}.exp:"
- echo " ICEDEV=hx1k-tq144 bash ../icecube.sh ${id} > ${id}.log 2>&1"
- echo " ../../icebox/icebox_explain.py ${id}.asc > ${id}.exp.new"
+ echo " ICEDEV=hx1k-tq144 bash ../../icecube.sh ${id} > ${id}.log 2>&1"
+ echo " ../../../icebox/icebox_explain.py ${id}.asc > ${id}.exp.new"
echo " ! grep '^Warning: pin' ${id}.log"
echo " rm -rf ${id}.tmp"
echo " mv ${id}.exp.new ${id}.exp"
@@ -35,5 +38,5 @@ fi
set -ex
make -f pinloc-1k-tq144.mk -j4
-python3 pinlocdb.py pinloc-1k-tq144_*.exp > pinloc-1k-tq144.txt
+python3 ../pinlocdb.py pinloc-1k-tq144_*.exp > ../pinloc-1k-tq144.txt
diff --git a/icefuzz/pinloc/pinloc-1k-vq100.sh b/icefuzz/pinloc/pinloc-1k-vq100.sh
index 161151a..192c628 100644
--- a/icefuzz/pinloc/pinloc-1k-vq100.sh
+++ b/icefuzz/pinloc/pinloc-1k-vq100.sh
@@ -1,5 +1,8 @@
#!/bin/bash
+mkdir -p pinloc-1k-vq100
+cd pinloc-1k-vq100
+
pins="
1 2 3 4 7 8 9 10 12 13 15 16 18 19 20 21 24 25
26 27 28 29 30 33 34 36 37 40 41 42 45 46 48 49
@@ -25,8 +28,8 @@ fi
echo "module top(output y); assign y = 0; endmodule" > ${id}.v
echo "set_io y ${pin}" >> ${id}.pcf
echo; echo "${id}.exp:"
- echo " ICEDEV=hx1k-vq100 bash ../icecube.sh ${id} > ${id}.log 2>&1"
- echo " ../../icebox/icebox_explain.py ${id}.asc > ${id}.exp.new"
+ echo " ICEDEV=hx1k-vq100 bash ../../icecube.sh ${id} > ${id}.log 2>&1"
+ echo " ../../../icebox/icebox_explain.py ${id}.asc > ${id}.exp.new"
echo " ! grep '^Warning: pin' ${id}.log"
echo " rm -rf ${id}.tmp"
echo " mv ${id}.exp.new ${id}.exp"
@@ -35,5 +38,5 @@ fi
set -ex
make -f pinloc-1k-vq100.mk -j4
-python3 pinlocdb.py pinloc-1k-vq100_*.exp > pinloc-1k-vq100.txt
+python3 ../pinlocdb.py pinloc-1k-vq100_*.exp > ../pinloc-1k-vq100.txt
diff --git a/icefuzz/pinloc/pinloc-4k-cb132.sh b/icefuzz/pinloc/pinloc-4k-cb132.sh
index 1e6510f..a314071 100644
--- a/icefuzz/pinloc/pinloc-4k-cb132.sh
+++ b/icefuzz/pinloc/pinloc-4k-cb132.sh
@@ -1,5 +1,8 @@
#!/bin/bash
+mkdir -p pinloc-4k-cb132
+cd pinloc-4k-cb132
+
pins="
A1 A2 A3 A4 A5 A6 A7 A10 A11 A12 B1 B14
C1 C3 C4 C5 C6 C7 C9 C10 C11 C12 C14
@@ -30,8 +33,8 @@ fi
echo "module top(output y); assign y = 0; endmodule" > ${id}.v
echo "set_io y ${pin}" >> ${id}.pcf
echo; echo "${id}.exp:"
- echo " ICEDEV=hx4k-cb132 bash ../icecube.sh ${id} > ${id}.log 2>&1"
- echo " ../../icebox/icebox_explain.py ${id}.asc > ${id}.exp.new"
+ echo " ICEDEV=hx4k-cb132 bash ../../icecube.sh ${id} > ${id}.log 2>&1"
+ echo " ../../../icebox/icebox_explain.py ${id}.asc > ${id}.exp.new"
echo " ! grep '^Warning: pin' ${id}.log"
echo " rm -rf ${id}.tmp"
echo " mv ${id}.exp.new ${id}.exp"
@@ -40,5 +43,5 @@ fi
set -ex
make -f pinloc-4k-cb132.mk -j4
-python3 pinlocdb.py pinloc-4k-cb132_*.exp > pinloc-4k-cb132.txt
+python3 ../pinlocdb.py pinloc-4k-cb132_*.exp > ../pinloc-4k-cb132.txt
diff --git a/icefuzz/pinloc/pinloc-4k-tq144.sh b/icefuzz/pinloc/pinloc-4k-tq144.sh
index 7530e1a..877ecfc 100644
--- a/icefuzz/pinloc/pinloc-4k-tq144.sh
+++ b/icefuzz/pinloc/pinloc-4k-tq144.sh
@@ -1,5 +1,8 @@
#!/bin/bash
+mkdir -p pinloc-4k-tq144
+cd pinloc-4k-tq144
+
pins="
1 2 3 4 7 8 9 10 11 12 15 16 17 18 19 20 21 22 23 24 25 26 28 29 31 32 33 34
37 38 39 41 42 43 44 45 47 48 49 52 55 56 60 61 62 63 64 67 68 70 71
@@ -25,8 +28,8 @@ fi
echo "module top(output y); assign y = 0; endmodule" > ${id}.v
echo "set_io y ${pin}" >> ${id}.pcf
echo; echo "${id}.exp:"
- echo " ICEDEV=hx4k-tq144 bash ../icecube.sh ${id} > ${id}.log 2>&1"
- echo " ../../icebox/icebox_explain.py ${id}.asc > ${id}.exp.new"
+ echo " ICEDEV=hx4k-tq144 bash ../../icecube.sh ${id} > ${id}.log 2>&1"
+ echo " ../../../icebox/icebox_explain.py ${id}.asc > ${id}.exp.new"
echo " ! grep '^Warning: pin' ${id}.log"
echo " rm -rf ${id}.tmp"
echo " mv ${id}.exp.new ${id}.exp"
@@ -35,5 +38,5 @@ fi
set -ex
make -f pinloc-4k-tq144.mk -j4
-python3 pinlocdb.py pinloc-4k-tq144_*.exp > pinloc-4k-tq144.txt
+python3 ../pinlocdb.py pinloc-4k-tq144_*.exp > ../pinloc-4k-tq144.txt
diff --git a/icefuzz/pinloc/pinloc-8k-cb132.sh b/icefuzz/pinloc/pinloc-8k-cb132.sh
index c9c5a6f..4ef7b0a 100644
--- a/icefuzz/pinloc/pinloc-8k-cb132.sh
+++ b/icefuzz/pinloc/pinloc-8k-cb132.sh
@@ -1,5 +1,8 @@
#!/bin/bash
+mkdir -p pinloc-8k-cb132
+cd pinloc-8k-cb132
+
pins="
A1 A2 A3 A4 A5 A6 A7 A10 A11 A12 B1 B14
C1 C3 C4 C5 C6 C7 C9 C10 C11 C12 C14
@@ -30,8 +33,8 @@ fi
echo "module top(output y); assign y = 0; endmodule" > ${id}.v
echo "set_io y ${pin}" >> ${id}.pcf
echo; echo "${id}.exp:"
- echo " ICEDEV=hx8k-cb132 bash ../icecube.sh ${id} > ${id}.log 2>&1"
- echo " ../../icebox/icebox_explain.py ${id}.asc > ${id}.exp.new"
+ echo " ICEDEV=hx8k-cb132 bash ../../icecube.sh ${id} > ${id}.log 2>&1"
+ echo " ../../../icebox/icebox_explain.py ${id}.asc > ${id}.exp.new"
echo " ! grep '^Warning: pin' ${id}.log"
echo " rm -rf ${id}.tmp"
echo " mv ${id}.exp.new ${id}.exp"
@@ -40,5 +43,5 @@ fi
set -ex
make -f pinloc-8k-cb132.mk -j4
-python3 pinlocdb.py pinloc-8k-cb132_*.exp > pinloc-8k-cb132.txt
+python3 ../pinlocdb.py pinloc-8k-cb132_*.exp > ../pinloc-8k-cb132.txt
diff --git a/icefuzz/pinloc/pinloc-8k-cm225.sh b/icefuzz/pinloc/pinloc-8k-cm225.sh
new file mode 100644
index 0000000..51ff49b
--- /dev/null
+++ b/icefuzz/pinloc/pinloc-8k-cm225.sh
@@ -0,0 +1,53 @@
+#!/bin/bash
+
+mkdir -p pinloc-8k-cm225
+cd pinloc-8k-cm225
+
+pins="
+ A1 A2 A5 A6 A7 A8 A9 A10 A11 A15
+ B1 B2 B3 B4 B5 B6 B7 B8 B9 B10 B11 B12 B13 B14 B15
+ C1 C2 C3 C4 C5 C6 C7 C8 C9 C10 C11 C12 C13 C14
+ D1 D2 D3 D4 D5 D6 D7 D8 D9 D10 D11 D13 D14 D15
+ E2 E3 E4 E5 E6 E9 E10 E11 E13 E14
+ F1 F2 F3 F4 F5 F7 F9 F11 F12 F13 F14 F15
+ G1 G2 G3 G4 G5 G10 G11 G12 G13 G14 G15
+ H1 H2 H3 H4 H5 H6 H11 H12 H13 H14
+ J1 J2 J3 J4 J5 J10 J11 J12 J13 J14 J15
+ K1 K3 K4 K5 K9 K11 K12 K13 K14 K15
+ L1 L3 L4 L5 L6 L7 L9 L10 L11 L12 L13 L14
+ M1 M2 M3 M4 M5 M6 M7 M8 M9 M11 M12 M13 M14 M15
+ N2 N3 N4 N5 N6 N7 N9 N10 N12
+ P1 P2 P4 P5 P6 P7 P8 P9 P10 P11 P12 P13 P14 P15
+ R1 R2 R3 R4 R5 R6 R9 R10 R11 R12 R14 R15
+"
+
+if [ $(echo $pins | wc -w) -ne 178 ]; then
+ echo "Incorrect number of pins:" $(echo $pins | wc -w)
+ exit 1
+fi
+
+{
+ echo -n "all:"
+ for pin in $pins; do
+ id="pinloc-8k-cm225_${pin}"
+ echo -n " ${id}.exp"
+ done
+ echo
+
+ for pin in $pins; do
+ id="pinloc-8k-cm225_${pin}"
+ echo "module top(output y); assign y = 0; endmodule" > ${id}.v
+ echo "set_io y ${pin}" >> ${id}.pcf
+ echo; echo "${id}.exp:"
+ echo " ICEDEV=hx8k-cm225 bash ../../icecube.sh ${id} > ${id}.log 2>&1"
+ echo " ../../../icebox/icebox_explain.py ${id}.asc > ${id}.exp.new"
+ echo " ! grep '^Warning: pin' ${id}.log"
+ echo " rm -rf ${id}.tmp"
+ echo " mv ${id}.exp.new ${id}.exp"
+ done
+} > pinloc-8k-cm225.mk
+
+set -ex
+make -f pinloc-8k-cm225.mk -j4
+python3 ../pinlocdb.py pinloc-8k-cm225_*.exp > ../pinloc-8k-cm225.txt
+
diff --git a/icefuzz/pinloc/pinloc-8k-ct256.sh b/icefuzz/pinloc/pinloc-8k-ct256.sh
index c831ca9..c1a379c 100644
--- a/icefuzz/pinloc/pinloc-8k-ct256.sh
+++ b/icefuzz/pinloc/pinloc-8k-ct256.sh
@@ -1,5 +1,8 @@
#!/bin/bash
+mkdir -p pinloc-8k-ct256
+cd pinloc-8k-ct256
+
pins="
A1 A2 A5 A6 A7 A9 A10 A11 A15 A16
B1 B2 B3 B4 B5 B6 B7 B8 B9 B10 B11 B12 B13 B14 B15 B16
@@ -37,8 +40,8 @@ fi
echo "module top(output y); assign y = 0; endmodule" > ${id}.v
echo "set_io y ${pin}" >> ${id}.pcf
echo; echo "${id}.exp:"
- echo " ICEDEV=hx8k-ct256 bash ../icecube.sh ${id} > ${id}.log 2>&1"
- echo " ../../icebox/icebox_explain.py ${id}.asc > ${id}.exp.new"
+ echo " ICEDEV=hx8k-ct256 bash ../../icecube.sh ${id} > ${id}.log 2>&1"
+ echo " ../../../icebox/icebox_explain.py ${id}.asc > ${id}.exp.new"
echo " ! grep '^Warning: pin' ${id}.log"
echo " rm -rf ${id}.tmp"
echo " mv ${id}.exp.new ${id}.exp"
@@ -47,4 +50,5 @@ fi
set -ex
make -f pinloc-8k-ct256.mk -j4
-python3 pinlocdb.py pinloc-8k-ct256_*.exp > pinloc-8k-ct256.txt
+python3 ../pinlocdb.py pinloc-8k-ct256_*.exp > ../pinloc-8k-ct256.txt
+