aboutsummaryrefslogtreecommitdiffstats
path: root/icefuzz
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2016-02-01 00:36:40 +0100
committerClifford Wolf <clifford@clifford.at>2016-02-01 00:36:40 +0100
commitc0c06cdee938c823a8daca54baac0c2e26b3fa3b (patch)
tree8457f10456fa995250a8c2dccab0327c75b1de15 /icefuzz
parent6470f00ce49eecf12ac9692652b37d244d468034 (diff)
downloadicestorm-c0c06cdee938c823a8daca54baac0c2e26b3fa3b.tar.gz
icestorm-c0c06cdee938c823a8daca54baac0c2e26b3fa3b.tar.bz2
icestorm-c0c06cdee938c823a8daca54baac0c2e26b3fa3b.zip
Added 4k cb132 package
Diffstat (limited to 'icefuzz')
-rw-r--r--icefuzz/icecube.sh9
-rw-r--r--icefuzz/pinloc/pinloc-1k-cb132.sh15
-rw-r--r--icefuzz/pinloc/pinloc-4k-cb132.sh38
-rw-r--r--icefuzz/pinloc/pinloc-8k-cb132.sh38
4 files changed, 94 insertions, 6 deletions
diff --git a/icefuzz/icecube.sh b/icefuzz/icecube.sh
index dc1d281..05257e1 100644
--- a/icefuzz/icecube.sh
+++ b/icefuzz/icecube.sh
@@ -67,6 +67,10 @@ case "${ICEDEV:-hx1k-tq144}" in
iCEPACKAGE="TQ144"
iCE40DEV="iCE40HX1K"
;;
+ hx4k-cb132)
+ iCEPACKAGE="CB132"
+ iCE40DEV="iCE40HX4K"
+ ;;
hx8k-cm225)
iCEPACKAGE="CM225"
iCE40DEV="iCE40HX8K"
@@ -102,6 +106,11 @@ case "$iCE40DEV" in
libfile="ice40HX1K.lib"
devfile="ICE40P01.dev"
;;
+ iCE40HX4K)
+ icetech="SBTiCE40"
+ libfile="ice40HX8K.lib"
+ devfile="ICE40P04.dev"
+ ;;
iCE40HX8K)
icetech="SBTiCE40"
libfile="ice40HX8K.lib"
diff --git a/icefuzz/pinloc/pinloc-1k-cb132.sh b/icefuzz/pinloc/pinloc-1k-cb132.sh
index 19e05c3..fabcd66 100644
--- a/icefuzz/pinloc/pinloc-1k-cb132.sh
+++ b/icefuzz/pinloc/pinloc-1k-cb132.sh
@@ -1,12 +1,15 @@
#!/bin/bash
pins="
- B1 C1 C3 D3 D4 E4 D1 E1 F4 F3 H3 H1 G1 G3 G4 H4 J1 J3 K4 K3 M1 L1 P1 N1 M3
- L4 P2 P3 M4 L5 P4 L6 P5 M6 P7 P8 M7 P9 L7 M8 L8 M9 L9 P10 M10 L10 M11 P11
- P12 P13 L11 M12 P14 L12 N14 M14 L14 K12 K11 K14 J12 J11 H12 H11 F14 G14
- G12 G11 F12 E14 F11 E12 D14 C14 E11 B14 D12 A14 A13 C12 A12 C11 C10 D11
- A10 D10 C9 D9 C8 D8 A7 A6 C7 D7 C6 A5 A4 D6 C5 A2 D5 A1 C4 A9 F1 F7 G7 G8
- G9 H6 H7 H8 J14 J8 L3 P6 F8 G6 H9 J4 J7 A8 F6 F9 H14 J9 M5 E3 J6 K1 A3 A11
+ A1 A2 A4 A5 A6 A7 A10 A12 B1 B14
+ C1 C3 C4 C5 C6 C7 C8 C9 C10 C11 C12 C14
+ D1 D3 D4 D5 D6 D7 D8 D9 D10 D11 D12 D14
+ E1 E4 E11 E12 E14 F3 F4 F11 F12 F14
+ G1 G3 G4 G11 G12 G14 H1 H3 H4 H11 H12
+ J1 J3 J11 J12 K3 K4 K11 K12 K14
+ L1 L4 L5 L6 L7 L8 L9 L12 L14
+ M1 M3 M4 M6 M7 M8 M9 M11 M12 N14
+ P2 P3 P4 P5 P7 P8 P9 P10 P11 P12 P13 P14
"
{
diff --git a/icefuzz/pinloc/pinloc-4k-cb132.sh b/icefuzz/pinloc/pinloc-4k-cb132.sh
new file mode 100644
index 0000000..bc20c86
--- /dev/null
+++ b/icefuzz/pinloc/pinloc-4k-cb132.sh
@@ -0,0 +1,38 @@
+#!/bin/bash
+
+pins="
+ A1 A2 A3 A4 A5 A6 A7 A10 A11 A12 B1 B14
+ C1 C3 C4 C5 C6 C7 C9 C10 C11 C12 C14
+ D1 D3 D4 D5 D6 D7 D9 D10 D11 D12 D14
+ E1 E4 E11 E12 E14 F3 F4 F11 F12 F14
+ G1 G3 G4 G11 G12 G14 H1 H3 H4 H11 H12
+ J1 J3 J11 J12 K3 K4 K11 K12 K14
+ L1 L4 L5 L6 L8 L9 L12 L14
+ M1 M3 M4 M6 M7 M9 M11 M12 N1 N14
+ P1 P2 P3 P4 P5 P7 P8 P9 P10 P11 P12 P13 P14
+"
+
+{
+ echo -n "all:"
+ for pin in $pins; do
+ id="pinloc-4k-cb132_${pin}"
+ echo -n " ${id}.exp"
+ done
+ echo
+
+ for pin in $pins; do
+ id="pinloc-4k-cb132_${pin}"
+ echo "module top(output y); assign y = 0; endmodule" > ${id}.v
+ echo "set_io y ${pin}" >> ${id}.pcf
+ echo; echo "${id}.exp:"
+ echo " ICEDEV=hx4k-cb132 bash ../icecube.sh ${id} > ${id}.log 2>&1"
+ echo " ../../icebox/icebox_explain.py ${id}.asc > ${id}.exp.new"
+ echo " rm -rf ${id}.tmp"
+ echo " mv ${id}.exp.new ${id}.exp"
+ done
+} > pinloc-4k-cb132.mk
+
+set -ex
+make -f pinloc-4k-cb132.mk -j4
+python3 pinlocdb.py pinloc-4k-cb132_*.exp > pinloc-4k-cb132.txt
+
diff --git a/icefuzz/pinloc/pinloc-8k-cb132.sh b/icefuzz/pinloc/pinloc-8k-cb132.sh
new file mode 100644
index 0000000..655fefc
--- /dev/null
+++ b/icefuzz/pinloc/pinloc-8k-cb132.sh
@@ -0,0 +1,38 @@
+#!/bin/bash
+
+pins="
+ A1 A2 A3 A4 A5 A6 A7 A10 A11 A12 B1 B14
+ C1 C3 C4 C5 C6 C7 C9 C10 C11 C12 C14
+ D1 D3 D4 D5 D6 D7 D9 D10 D11 D12 D14
+ E1 E4 E11 E12 E14 F3 F4 F11 F12 F14
+ G1 G3 G4 G11 G12 G14 H1 H3 H4 H11 H12
+ J1 J3 J11 J12 K3 K4 K11 K12 K14
+ L1 L4 L5 L6 L8 L9 L12 L14
+ M1 M3 M4 M6 M7 M9 M11 M12 N1 N14
+ P1 P2 P3 P4 P5 P7 P8 P9 P10 P11 P12 P13 P14
+"
+
+{
+ echo -n "all:"
+ for pin in $pins; do
+ id="pinloc-8k-cb132_${pin}"
+ echo -n " ${id}.exp"
+ done
+ echo
+
+ for pin in $pins; do
+ id="pinloc-8k-cb132_${pin}"
+ echo "module top(output y); assign y = 0; endmodule" > ${id}.v
+ echo "set_io y ${pin}" >> ${id}.pcf
+ echo; echo "${id}.exp:"
+ echo " ICEDEV=hx8k-cb132 bash ../icecube.sh ${id} > ${id}.log 2>&1"
+ echo " ../../icebox/icebox_explain.py ${id}.asc > ${id}.exp.new"
+ echo " rm -rf ${id}.tmp"
+ echo " mv ${id}.exp.new ${id}.exp"
+ done
+} > pinloc-8k-cb132.mk
+
+set -ex
+make -f pinloc-8k-cb132.mk -j4
+python3 pinlocdb.py pinloc-8k-cb132_*.exp > pinloc-8k-cb132.txt
+