aboutsummaryrefslogtreecommitdiffstats
path: root/icefuzz
diff options
context:
space:
mode:
Diffstat (limited to 'icefuzz')
-rw-r--r--icefuzz/tests/colbufs.pcf8
-rw-r--r--icefuzz/tests/colbufs.v34
2 files changed, 42 insertions, 0 deletions
diff --git a/icefuzz/tests/colbufs.pcf b/icefuzz/tests/colbufs.pcf
new file mode 100644
index 0000000..61c3d41
--- /dev/null
+++ b/icefuzz/tests/colbufs.pcf
@@ -0,0 +1,8 @@
+set_io clk[0] J3
+set_io clk[1] G1
+set_io clk[2] R9
+set_io clk[3] F7
+set_io clk[4] K9
+set_io clk[5] C8
+set_io clk[6] H11
+set_io clk[7] H16
diff --git a/icefuzz/tests/colbufs.v b/icefuzz/tests/colbufs.v
new file mode 100644
index 0000000..b84c189
--- /dev/null
+++ b/icefuzz/tests/colbufs.v
@@ -0,0 +1,34 @@
+module top #(
+ parameter NUM_BITS = 8
+) (
+ input [NUM_BITS-1:0] clk,
+ output reg [NUM_BITS-1:0] y
+);
+ wire [NUM_BITS-1:0] t1;
+ reg [NUM_BITS-1:0] t2;
+
+ genvar i;
+ generate for (i = 0; i < NUM_BITS; i = i+1) begin:bitslice
+ SB_RAM40_4K #(
+ .READ_MODE(0),
+ .WRITE_MODE(0)
+ ) ram40 (
+ .WADDR(8'b0),
+ .RADDR(8'b0),
+ .MASK(~16'b0),
+ .WDATA(8'b0),
+ .RDATA(t1[i]),
+ .WE(1'b1),
+ .WCLKE(1'b1),
+ .WCLK(clk[i]),
+ .RE(1'b1),
+ .RCLKE(1'b1),
+ .RCLK(clk[i])
+ );
+
+ always @(posedge clk[i]) begin
+ t2[i] <= t1[i];
+ y[i] <= t2[i];
+ end
+ end endgenerate
+endmodule