aboutsummaryrefslogtreecommitdiffstats
path: root/ice40/regressions/issue0120
diff options
context:
space:
mode:
authorEddie Hung <eddieh@ece.ubc.ca>2019-02-07 13:04:04 -0800
committerEddie Hung <eddieh@ece.ubc.ca>2019-02-07 13:04:04 -0800
commit31b2cdca145f8fc96424e0c7b6f4000f7c777c12 (patch)
treeea76bcfa26734338f499d4842e9440aff36a14f4 /ice40/regressions/issue0120
parent5908b57413f83077f082cf566d0dfbf5e76703b1 (diff)
downloadnextpnr-tests-31b2cdca145f8fc96424e0c7b6f4000f7c777c12.tar.gz
nextpnr-tests-31b2cdca145f8fc96424e0c7b6f4000f7c777c12.tar.bz2
nextpnr-tests-31b2cdca145f8fc96424e0c7b6f4000f7c777c12.zip
Add testcases from GH
Diffstat (limited to 'ice40/regressions/issue0120')
-rw-r--r--ice40/regressions/issue0120/mem.init11
-rw-r--r--ice40/regressions/issue0120/top.json82893
-rw-r--r--ice40/regressions/issue0120/top.npnr1
-rw-r--r--ice40/regressions/issue0120/top.pcf13
-rw-r--r--ice40/regressions/issue0120/top.sh3
-rw-r--r--ice40/regressions/issue0120/top.v3971
-rw-r--r--ice40/regressions/issue0120/top.ys3
7 files changed, 86895 insertions, 0 deletions
diff --git a/ice40/regressions/issue0120/mem.init b/ice40/regressions/issue0120/mem.init
new file mode 100644
index 0000000..7b9fd27
--- /dev/null
+++ b/ice40/regressions/issue0120/mem.init
@@ -0,0 +1,11 @@
+55
+53
+42
+54
+65
+73
+74
+53
+6f
+43
+0
diff --git a/ice40/regressions/issue0120/top.json b/ice40/regressions/issue0120/top.json
new file mode 100644
index 0000000..9c678a5
--- /dev/null
+++ b/ice40/regressions/issue0120/top.json
@@ -0,0 +1,82893 @@
+{
+ "creator": "Yosys 0.8+2 (git sha1 debc0d35, x86_64-conda_cos6-linux-gnu-gcc 1.23.0.449-a04d0 -fvisibility-inlines-hidden -fmessage-length=0 -march=nocona -mtune=haswell -ftree-vectorize -fPIC -fstack-protector-strong -fno-plt -O2 -fdebug-prefix-map=/tmp/really-really-really-really-really-really-really-really-really-really-really-really-really-long-path/conda/conda-bld/yosys_1539704241291/work=/usr/local/src/conda/yosys-0.8_0022_gdebc0d35 -fdebug-prefix-map=/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda=/usr/local/src/conda-prefix -fPIC -Os)",
+ "modules": {
+ "ICESTORM_LC": {
+ "attributes": {
+ "blackbox": 1,
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:648"
+ },
+ "ports": {
+ "I0": {
+ "direction": "input",
+ "bits": [ 2 ]
+ },
+ "I1": {
+ "direction": "input",
+ "bits": [ 3 ]
+ },
+ "I2": {
+ "direction": "input",
+ "bits": [ 4 ]
+ },
+ "I3": {
+ "direction": "input",
+ "bits": [ 5 ]
+ },
+ "CIN": {
+ "direction": "input",
+ "bits": [ 6 ]
+ },
+ "CLK": {
+ "direction": "input",
+ "bits": [ 7 ]
+ },
+ "CEN": {
+ "direction": "input",
+ "bits": [ 8 ]
+ },
+ "SR": {
+ "direction": "input",
+ "bits": [ 9 ]
+ },
+ "LO": {
+ "direction": "output",
+ "bits": [ 10 ]
+ },
+ "O": {
+ "direction": "output",
+ "bits": [ 11 ]
+ },
+ "COUT": {
+ "direction": "output",
+ "bits": [ 12 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "CEN": {
+ "hide_name": 0,
+ "bits": [ 8 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:649"
+ }
+ },
+ "CIN": {
+ "hide_name": 0,
+ "bits": [ 6 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:649"
+ }
+ },
+ "CLK": {
+ "hide_name": 0,
+ "bits": [ 7 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:649"
+ }
+ },
+ "COUT": {
+ "hide_name": 0,
+ "bits": [ 12 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:650"
+ }
+ },
+ "I0": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:649"
+ }
+ },
+ "I1": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:649"
+ }
+ },
+ "I2": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:649"
+ }
+ },
+ "I3": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:649"
+ }
+ },
+ "LO": {
+ "hide_name": 0,
+ "bits": [ 10 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:650"
+ }
+ },
+ "O": {
+ "hide_name": 0,
+ "bits": [ 11 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:650"
+ }
+ },
+ "SR": {
+ "hide_name": 0,
+ "bits": [ 9 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:649"
+ }
+ }
+ }
+ },
+ "SB_CARRY": {
+ "attributes": {
+ "blackbox": 1,
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:129"
+ },
+ "ports": {
+ "CO": {
+ "direction": "output",
+ "bits": [ 2 ]
+ },
+ "I0": {
+ "direction": "input",
+ "bits": [ 3 ]
+ },
+ "I1": {
+ "direction": "input",
+ "bits": [ 4 ]
+ },
+ "CI": {
+ "direction": "input",
+ "bits": [ 5 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "CI": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:129"
+ }
+ },
+ "CO": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:129"
+ }
+ },
+ "I0": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:129"
+ }
+ },
+ "I1": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:129"
+ }
+ }
+ }
+ },
+ "SB_DFF": {
+ "attributes": {
+ "blackbox": 1,
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:135"
+ },
+ "ports": {
+ "Q": {
+ "direction": "output",
+ "bits": [ 2 ]
+ },
+ "C": {
+ "direction": "input",
+ "bits": [ 3 ]
+ },
+ "D": {
+ "direction": "input",
+ "bits": [ 4 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "C": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:135"
+ }
+ },
+ "D": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:135"
+ }
+ },
+ "Q": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:135"
+ }
+ }
+ }
+ },
+ "SB_DFFE": {
+ "attributes": {
+ "blackbox": 1,
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:140"
+ },
+ "ports": {
+ "Q": {
+ "direction": "output",
+ "bits": [ 2 ]
+ },
+ "C": {
+ "direction": "input",
+ "bits": [ 3 ]
+ },
+ "E": {
+ "direction": "input",
+ "bits": [ 4 ]
+ },
+ "D": {
+ "direction": "input",
+ "bits": [ 5 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "C": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:140"
+ }
+ },
+ "D": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:140"
+ }
+ },
+ "E": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:140"
+ }
+ },
+ "Q": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:140"
+ }
+ }
+ }
+ },
+ "SB_DFFER": {
+ "attributes": {
+ "blackbox": 1,
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:188"
+ },
+ "ports": {
+ "Q": {
+ "direction": "output",
+ "bits": [ 2 ]
+ },
+ "C": {
+ "direction": "input",
+ "bits": [ 3 ]
+ },
+ "E": {
+ "direction": "input",
+ "bits": [ 4 ]
+ },
+ "R": {
+ "direction": "input",
+ "bits": [ 5 ]
+ },
+ "D": {
+ "direction": "input",
+ "bits": [ 6 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "C": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:188"
+ }
+ },
+ "D": {
+ "hide_name": 0,
+ "bits": [ 6 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:188"
+ }
+ },
+ "E": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:188"
+ }
+ },
+ "Q": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:188"
+ }
+ },
+ "R": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:188"
+ }
+ }
+ }
+ },
+ "SB_DFFES": {
+ "attributes": {
+ "blackbox": 1,
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:206"
+ },
+ "ports": {
+ "Q": {
+ "direction": "output",
+ "bits": [ 2 ]
+ },
+ "C": {
+ "direction": "input",
+ "bits": [ 3 ]
+ },
+ "E": {
+ "direction": "input",
+ "bits": [ 4 ]
+ },
+ "S": {
+ "direction": "input",
+ "bits": [ 5 ]
+ },
+ "D": {
+ "direction": "input",
+ "bits": [ 6 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "C": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:206"
+ }
+ },
+ "D": {
+ "hide_name": 0,
+ "bits": [ 6 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:206"
+ }
+ },
+ "E": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:206"
+ }
+ },
+ "Q": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:206"
+ }
+ },
+ "S": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:206"
+ }
+ }
+ }
+ },
+ "SB_DFFESR": {
+ "attributes": {
+ "blackbox": 1,
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:178"
+ },
+ "ports": {
+ "Q": {
+ "direction": "output",
+ "bits": [ 2 ]
+ },
+ "C": {
+ "direction": "input",
+ "bits": [ 3 ]
+ },
+ "E": {
+ "direction": "input",
+ "bits": [ 4 ]
+ },
+ "R": {
+ "direction": "input",
+ "bits": [ 5 ]
+ },
+ "D": {
+ "direction": "input",
+ "bits": [ 6 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "C": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:178"
+ }
+ },
+ "D": {
+ "hide_name": 0,
+ "bits": [ 6 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:178"
+ }
+ },
+ "E": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:178"
+ }
+ },
+ "Q": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:178"
+ }
+ },
+ "R": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:178"
+ }
+ }
+ }
+ },
+ "SB_DFFESS": {
+ "attributes": {
+ "blackbox": 1,
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:196"
+ },
+ "ports": {
+ "Q": {
+ "direction": "output",
+ "bits": [ 2 ]
+ },
+ "C": {
+ "direction": "input",
+ "bits": [ 3 ]
+ },
+ "E": {
+ "direction": "input",
+ "bits": [ 4 ]
+ },
+ "S": {
+ "direction": "input",
+ "bits": [ 5 ]
+ },
+ "D": {
+ "direction": "input",
+ "bits": [ 6 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "C": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:196"
+ }
+ },
+ "D": {
+ "hide_name": 0,
+ "bits": [ 6 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:196"
+ }
+ },
+ "E": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:196"
+ }
+ },
+ "Q": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:196"
+ }
+ },
+ "S": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:196"
+ }
+ }
+ }
+ },
+ "SB_DFFN": {
+ "attributes": {
+ "blackbox": 1,
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:216"
+ },
+ "ports": {
+ "Q": {
+ "direction": "output",
+ "bits": [ 2 ]
+ },
+ "C": {
+ "direction": "input",
+ "bits": [ 3 ]
+ },
+ "D": {
+ "direction": "input",
+ "bits": [ 4 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "C": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:216"
+ }
+ },
+ "D": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:216"
+ }
+ },
+ "Q": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:216"
+ }
+ }
+ }
+ },
+ "SB_DFFNE": {
+ "attributes": {
+ "blackbox": 1,
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:221"
+ },
+ "ports": {
+ "Q": {
+ "direction": "output",
+ "bits": [ 2 ]
+ },
+ "C": {
+ "direction": "input",
+ "bits": [ 3 ]
+ },
+ "E": {
+ "direction": "input",
+ "bits": [ 4 ]
+ },
+ "D": {
+ "direction": "input",
+ "bits": [ 5 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "C": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:221"
+ }
+ },
+ "D": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:221"
+ }
+ },
+ "E": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:221"
+ }
+ },
+ "Q": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:221"
+ }
+ }
+ }
+ },
+ "SB_DFFNER": {
+ "attributes": {
+ "blackbox": 1,
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:269"
+ },
+ "ports": {
+ "Q": {
+ "direction": "output",
+ "bits": [ 2 ]
+ },
+ "C": {
+ "direction": "input",
+ "bits": [ 3 ]
+ },
+ "E": {
+ "direction": "input",
+ "bits": [ 4 ]
+ },
+ "R": {
+ "direction": "input",
+ "bits": [ 5 ]
+ },
+ "D": {
+ "direction": "input",
+ "bits": [ 6 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "C": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:269"
+ }
+ },
+ "D": {
+ "hide_name": 0,
+ "bits": [ 6 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:269"
+ }
+ },
+ "E": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:269"
+ }
+ },
+ "Q": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:269"
+ }
+ },
+ "R": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:269"
+ }
+ }
+ }
+ },
+ "SB_DFFNES": {
+ "attributes": {
+ "blackbox": 1,
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:287"
+ },
+ "ports": {
+ "Q": {
+ "direction": "output",
+ "bits": [ 2 ]
+ },
+ "C": {
+ "direction": "input",
+ "bits": [ 3 ]
+ },
+ "E": {
+ "direction": "input",
+ "bits": [ 4 ]
+ },
+ "S": {
+ "direction": "input",
+ "bits": [ 5 ]
+ },
+ "D": {
+ "direction": "input",
+ "bits": [ 6 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "C": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:287"
+ }
+ },
+ "D": {
+ "hide_name": 0,
+ "bits": [ 6 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:287"
+ }
+ },
+ "E": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:287"
+ }
+ },
+ "Q": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:287"
+ }
+ },
+ "S": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:287"
+ }
+ }
+ }
+ },
+ "SB_DFFNESR": {
+ "attributes": {
+ "blackbox": 1,
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:259"
+ },
+ "ports": {
+ "Q": {
+ "direction": "output",
+ "bits": [ 2 ]
+ },
+ "C": {
+ "direction": "input",
+ "bits": [ 3 ]
+ },
+ "E": {
+ "direction": "input",
+ "bits": [ 4 ]
+ },
+ "R": {
+ "direction": "input",
+ "bits": [ 5 ]
+ },
+ "D": {
+ "direction": "input",
+ "bits": [ 6 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "C": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:259"
+ }
+ },
+ "D": {
+ "hide_name": 0,
+ "bits": [ 6 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:259"
+ }
+ },
+ "E": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:259"
+ }
+ },
+ "Q": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:259"
+ }
+ },
+ "R": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:259"
+ }
+ }
+ }
+ },
+ "SB_DFFNESS": {
+ "attributes": {
+ "blackbox": 1,
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:277"
+ },
+ "ports": {
+ "Q": {
+ "direction": "output",
+ "bits": [ 2 ]
+ },
+ "C": {
+ "direction": "input",
+ "bits": [ 3 ]
+ },
+ "E": {
+ "direction": "input",
+ "bits": [ 4 ]
+ },
+ "S": {
+ "direction": "input",
+ "bits": [ 5 ]
+ },
+ "D": {
+ "direction": "input",
+ "bits": [ 6 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "C": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:277"
+ }
+ },
+ "D": {
+ "hide_name": 0,
+ "bits": [ 6 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:277"
+ }
+ },
+ "E": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:277"
+ }
+ },
+ "Q": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:277"
+ }
+ },
+ "S": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:277"
+ }
+ }
+ }
+ },
+ "SB_DFFNR": {
+ "attributes": {
+ "blackbox": 1,
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:235"
+ },
+ "ports": {
+ "Q": {
+ "direction": "output",
+ "bits": [ 2 ]
+ },
+ "C": {
+ "direction": "input",
+ "bits": [ 3 ]
+ },
+ "R": {
+ "direction": "input",
+ "bits": [ 4 ]
+ },
+ "D": {
+ "direction": "input",
+ "bits": [ 5 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "C": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:235"
+ }
+ },
+ "D": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:235"
+ }
+ },
+ "Q": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:235"
+ }
+ },
+ "R": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:235"
+ }
+ }
+ }
+ },
+ "SB_DFFNS": {
+ "attributes": {
+ "blackbox": 1,
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:251"
+ },
+ "ports": {
+ "Q": {
+ "direction": "output",
+ "bits": [ 2 ]
+ },
+ "C": {
+ "direction": "input",
+ "bits": [ 3 ]
+ },
+ "S": {
+ "direction": "input",
+ "bits": [ 4 ]
+ },
+ "D": {
+ "direction": "input",
+ "bits": [ 5 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "C": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:251"
+ }
+ },
+ "D": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:251"
+ }
+ },
+ "Q": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:251"
+ }
+ },
+ "S": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:251"
+ }
+ }
+ }
+ },
+ "SB_DFFNSR": {
+ "attributes": {
+ "blackbox": 1,
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:227"
+ },
+ "ports": {
+ "Q": {
+ "direction": "output",
+ "bits": [ 2 ]
+ },
+ "C": {
+ "direction": "input",
+ "bits": [ 3 ]
+ },
+ "R": {
+ "direction": "input",
+ "bits": [ 4 ]
+ },
+ "D": {
+ "direction": "input",
+ "bits": [ 5 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "C": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:227"
+ }
+ },
+ "D": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:227"
+ }
+ },
+ "Q": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:227"
+ }
+ },
+ "R": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:227"
+ }
+ }
+ }
+ },
+ "SB_DFFNSS": {
+ "attributes": {
+ "blackbox": 1,
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:243"
+ },
+ "ports": {
+ "Q": {
+ "direction": "output",
+ "bits": [ 2 ]
+ },
+ "C": {
+ "direction": "input",
+ "bits": [ 3 ]
+ },
+ "S": {
+ "direction": "input",
+ "bits": [ 4 ]
+ },
+ "D": {
+ "direction": "input",
+ "bits": [ 5 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "C": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:243"
+ }
+ },
+ "D": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:243"
+ }
+ },
+ "Q": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:243"
+ }
+ },
+ "S": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:243"
+ }
+ }
+ }
+ },
+ "SB_DFFR": {
+ "attributes": {
+ "blackbox": 1,
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:154"
+ },
+ "ports": {
+ "Q": {
+ "direction": "output",
+ "bits": [ 2 ]
+ },
+ "C": {
+ "direction": "input",
+ "bits": [ 3 ]
+ },
+ "R": {
+ "direction": "input",
+ "bits": [ 4 ]
+ },
+ "D": {
+ "direction": "input",
+ "bits": [ 5 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "C": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:154"
+ }
+ },
+ "D": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:154"
+ }
+ },
+ "Q": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:154"
+ }
+ },
+ "R": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:154"
+ }
+ }
+ }
+ },
+ "SB_DFFS": {
+ "attributes": {
+ "blackbox": 1,
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:170"
+ },
+ "ports": {
+ "Q": {
+ "direction": "output",
+ "bits": [ 2 ]
+ },
+ "C": {
+ "direction": "input",
+ "bits": [ 3 ]
+ },
+ "S": {
+ "direction": "input",
+ "bits": [ 4 ]
+ },
+ "D": {
+ "direction": "input",
+ "bits": [ 5 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "C": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:170"
+ }
+ },
+ "D": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:170"
+ }
+ },
+ "Q": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:170"
+ }
+ },
+ "S": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:170"
+ }
+ }
+ }
+ },
+ "SB_DFFSR": {
+ "attributes": {
+ "blackbox": 1,
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:146"
+ },
+ "ports": {
+ "Q": {
+ "direction": "output",
+ "bits": [ 2 ]
+ },
+ "C": {
+ "direction": "input",
+ "bits": [ 3 ]
+ },
+ "R": {
+ "direction": "input",
+ "bits": [ 4 ]
+ },
+ "D": {
+ "direction": "input",
+ "bits": [ 5 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "C": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:146"
+ }
+ },
+ "D": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:146"
+ }
+ },
+ "Q": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:146"
+ }
+ },
+ "R": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:146"
+ }
+ }
+ }
+ },
+ "SB_DFFSS": {
+ "attributes": {
+ "blackbox": 1,
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:162"
+ },
+ "ports": {
+ "Q": {
+ "direction": "output",
+ "bits": [ 2 ]
+ },
+ "C": {
+ "direction": "input",
+ "bits": [ 3 ]
+ },
+ "S": {
+ "direction": "input",
+ "bits": [ 4 ]
+ },
+ "D": {
+ "direction": "input",
+ "bits": [ 5 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "C": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:162"
+ }
+ },
+ "D": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:162"
+ }
+ },
+ "Q": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:162"
+ }
+ },
+ "S": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:162"
+ }
+ }
+ }
+ },
+ "SB_FILTER_50NS": {
+ "attributes": {
+ "blackbox": 1,
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1117"
+ },
+ "ports": {
+ "FILTERIN": {
+ "direction": "input",
+ "bits": [ 2 ]
+ },
+ "FILTEROUT": {
+ "direction": "output",
+ "bits": [ 3 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "FILTERIN": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1118"
+ }
+ },
+ "FILTEROUT": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1119"
+ }
+ }
+ }
+ },
+ "SB_GB": {
+ "attributes": {
+ "blackbox": 1,
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:112"
+ },
+ "ports": {
+ "USER_SIGNAL_TO_GLOBAL_BUFFER": {
+ "direction": "input",
+ "bits": [ 2 ]
+ },
+ "GLOBAL_BUFFER_OUTPUT": {
+ "direction": "output",
+ "bits": [ 3 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "GLOBAL_BUFFER_OUTPUT": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:114"
+ }
+ },
+ "USER_SIGNAL_TO_GLOBAL_BUFFER": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:113"
+ }
+ }
+ }
+ },
+ "SB_GB_IO": {
+ "attributes": {
+ "blackbox": 1,
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:73"
+ },
+ "ports": {
+ "PACKAGE_PIN": {
+ "direction": "inout",
+ "bits": [ 2 ]
+ },
+ "GLOBAL_BUFFER_OUTPUT": {
+ "direction": "output",
+ "bits": [ 3 ]
+ },
+ "LATCH_INPUT_VALUE": {
+ "direction": "input",
+ "bits": [ 4 ]
+ },
+ "CLOCK_ENABLE": {
+ "direction": "input",
+ "bits": [ 5 ]
+ },
+ "INPUT_CLK": {
+ "direction": "input",
+ "bits": [ 6 ]
+ },
+ "OUTPUT_CLK": {
+ "direction": "input",
+ "bits": [ 7 ]
+ },
+ "OUTPUT_ENABLE": {
+ "direction": "input",
+ "bits": [ 8 ]
+ },
+ "D_OUT_0": {
+ "direction": "input",
+ "bits": [ 9 ]
+ },
+ "D_OUT_1": {
+ "direction": "input",
+ "bits": [ 10 ]
+ },
+ "D_IN_0": {
+ "direction": "output",
+ "bits": [ 11 ]
+ },
+ "D_IN_1": {
+ "direction": "output",
+ "bits": [ 12 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "CLOCK_ENABLE": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:77"
+ }
+ },
+ "D_IN_0": {
+ "hide_name": 0,
+ "bits": [ 11 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:83"
+ }
+ },
+ "D_IN_1": {
+ "hide_name": 0,
+ "bits": [ 12 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:84"
+ }
+ },
+ "D_OUT_0": {
+ "hide_name": 0,
+ "bits": [ 9 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:81"
+ }
+ },
+ "D_OUT_1": {
+ "hide_name": 0,
+ "bits": [ 10 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:82"
+ }
+ },
+ "GLOBAL_BUFFER_OUTPUT": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:75"
+ }
+ },
+ "INPUT_CLK": {
+ "hide_name": 0,
+ "bits": [ 6 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:78"
+ }
+ },
+ "LATCH_INPUT_VALUE": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:76"
+ }
+ },
+ "OUTPUT_CLK": {
+ "hide_name": 0,
+ "bits": [ 7 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:79"
+ }
+ },
+ "OUTPUT_ENABLE": {
+ "hide_name": 0,
+ "bits": [ 8 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:80"
+ }
+ },
+ "PACKAGE_PIN": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:74"
+ }
+ }
+ }
+ },
+ "SB_HFOSC": {
+ "attributes": {
+ "blackbox": 1,
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:960"
+ },
+ "ports": {
+ "CLKHFPU": {
+ "direction": "input",
+ "bits": [ 2 ]
+ },
+ "CLKHFEN": {
+ "direction": "input",
+ "bits": [ 3 ]
+ },
+ "CLKHF": {
+ "direction": "output",
+ "bits": [ 4 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "CLKHF": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:963"
+ }
+ },
+ "CLKHFEN": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:962"
+ }
+ },
+ "CLKHFPU": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:961"
+ }
+ }
+ }
+ },
+ "SB_I2C": {
+ "attributes": {
+ "blackbox": 1,
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:994"
+ },
+ "ports": {
+ "SBCLKI": {
+ "direction": "input",
+ "bits": [ 2 ]
+ },
+ "SBRWI": {
+ "direction": "input",
+ "bits": [ 3 ]
+ },
+ "SBSTBI": {
+ "direction": "input",
+ "bits": [ 4 ]
+ },
+ "SBADRI7": {
+ "direction": "input",
+ "bits": [ 5 ]
+ },
+ "SBADRI6": {
+ "direction": "input",
+ "bits": [ 6 ]
+ },
+ "SBADRI5": {
+ "direction": "input",
+ "bits": [ 7 ]
+ },
+ "SBADRI4": {
+ "direction": "input",
+ "bits": [ 8 ]
+ },
+ "SBADRI3": {
+ "direction": "input",
+ "bits": [ 9 ]
+ },
+ "SBADRI2": {
+ "direction": "input",
+ "bits": [ 10 ]
+ },
+ "SBADRI1": {
+ "direction": "input",
+ "bits": [ 11 ]
+ },
+ "SBADRI0": {
+ "direction": "input",
+ "bits": [ 12 ]
+ },
+ "SBDATI7": {
+ "direction": "input",
+ "bits": [ 13 ]
+ },
+ "SBDATI6": {
+ "direction": "input",
+ "bits": [ 14 ]
+ },
+ "SBDATI5": {
+ "direction": "input",
+ "bits": [ 15 ]
+ },
+ "SBDATI4": {
+ "direction": "input",
+ "bits": [ 16 ]
+ },
+ "SBDATI3": {
+ "direction": "input",
+ "bits": [ 17 ]
+ },
+ "SBDATI2": {
+ "direction": "input",
+ "bits": [ 18 ]
+ },
+ "SBDATI1": {
+ "direction": "input",
+ "bits": [ 19 ]
+ },
+ "SBDATI0": {
+ "direction": "input",
+ "bits": [ 20 ]
+ },
+ "SCLI": {
+ "direction": "input",
+ "bits": [ 21 ]
+ },
+ "SDAI": {
+ "direction": "input",
+ "bits": [ 22 ]
+ },
+ "SBDATO7": {
+ "direction": "output",
+ "bits": [ 23 ]
+ },
+ "SBDATO6": {
+ "direction": "output",
+ "bits": [ 24 ]
+ },
+ "SBDATO5": {
+ "direction": "output",
+ "bits": [ 25 ]
+ },
+ "SBDATO4": {
+ "direction": "output",
+ "bits": [ 26 ]
+ },
+ "SBDATO3": {
+ "direction": "output",
+ "bits": [ 27 ]
+ },
+ "SBDATO2": {
+ "direction": "output",
+ "bits": [ 28 ]
+ },
+ "SBDATO1": {
+ "direction": "output",
+ "bits": [ 29 ]
+ },
+ "SBDATO0": {
+ "direction": "output",
+ "bits": [ 30 ]
+ },
+ "SBACKO": {
+ "direction": "output",
+ "bits": [ 31 ]
+ },
+ "I2CIRQ": {
+ "direction": "output",
+ "bits": [ 32 ]
+ },
+ "I2CWKUP": {
+ "direction": "output",
+ "bits": [ 33 ]
+ },
+ "SCLO": {
+ "direction": "output",
+ "bits": [ 34 ]
+ },
+ "SCLOE": {
+ "direction": "output",
+ "bits": [ 35 ]
+ },
+ "SDAO": {
+ "direction": "output",
+ "bits": [ 36 ]
+ },
+ "SDAOE": {
+ "direction": "output",
+ "bits": [ 37 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "I2CIRQ": {
+ "hide_name": 0,
+ "bits": [ 32 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1025"
+ }
+ },
+ "I2CWKUP": {
+ "hide_name": 0,
+ "bits": [ 33 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1026"
+ }
+ },
+ "SBACKO": {
+ "hide_name": 0,
+ "bits": [ 31 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1024"
+ }
+ },
+ "SBADRI0": {
+ "hide_name": 0,
+ "bits": [ 12 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1005"
+ }
+ },
+ "SBADRI1": {
+ "hide_name": 0,
+ "bits": [ 11 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1004"
+ }
+ },
+ "SBADRI2": {
+ "hide_name": 0,
+ "bits": [ 10 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1003"
+ }
+ },
+ "SBADRI3": {
+ "hide_name": 0,
+ "bits": [ 9 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1002"
+ }
+ },
+ "SBADRI4": {
+ "hide_name": 0,
+ "bits": [ 8 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1001"
+ }
+ },
+ "SBADRI5": {
+ "hide_name": 0,
+ "bits": [ 7 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1000"
+ }
+ },
+ "SBADRI6": {
+ "hide_name": 0,
+ "bits": [ 6 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:999"
+ }
+ },
+ "SBADRI7": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:998"
+ }
+ },
+ "SBCLKI": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:995"
+ }
+ },
+ "SBDATI0": {
+ "hide_name": 0,
+ "bits": [ 20 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1013"
+ }
+ },
+ "SBDATI1": {
+ "hide_name": 0,
+ "bits": [ 19 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1012"
+ }
+ },
+ "SBDATI2": {
+ "hide_name": 0,
+ "bits": [ 18 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1011"
+ }
+ },
+ "SBDATI3": {
+ "hide_name": 0,
+ "bits": [ 17 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1010"
+ }
+ },
+ "SBDATI4": {
+ "hide_name": 0,
+ "bits": [ 16 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1009"
+ }
+ },
+ "SBDATI5": {
+ "hide_name": 0,
+ "bits": [ 15 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1008"
+ }
+ },
+ "SBDATI6": {
+ "hide_name": 0,
+ "bits": [ 14 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1007"
+ }
+ },
+ "SBDATI7": {
+ "hide_name": 0,
+ "bits": [ 13 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1006"
+ }
+ },
+ "SBDATO0": {
+ "hide_name": 0,
+ "bits": [ 30 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1023"
+ }
+ },
+ "SBDATO1": {
+ "hide_name": 0,
+ "bits": [ 29 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1022"
+ }
+ },
+ "SBDATO2": {
+ "hide_name": 0,
+ "bits": [ 28 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1021"
+ }
+ },
+ "SBDATO3": {
+ "hide_name": 0,
+ "bits": [ 27 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1020"
+ }
+ },
+ "SBDATO4": {
+ "hide_name": 0,
+ "bits": [ 26 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1019"
+ }
+ },
+ "SBDATO5": {
+ "hide_name": 0,
+ "bits": [ 25 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1018"
+ }
+ },
+ "SBDATO6": {
+ "hide_name": 0,
+ "bits": [ 24 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1017"
+ }
+ },
+ "SBDATO7": {
+ "hide_name": 0,
+ "bits": [ 23 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1016"
+ }
+ },
+ "SBRWI": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:996"
+ }
+ },
+ "SBSTBI": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:997"
+ }
+ },
+ "SCLI": {
+ "hide_name": 0,
+ "bits": [ 21 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1014"
+ }
+ },
+ "SCLO": {
+ "hide_name": 0,
+ "bits": [ 34 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1027"
+ }
+ },
+ "SCLOE": {
+ "hide_name": 0,
+ "bits": [ 35 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1028"
+ }
+ },
+ "SDAI": {
+ "hide_name": 0,
+ "bits": [ 22 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1015"
+ }
+ },
+ "SDAO": {
+ "hide_name": 0,
+ "bits": [ 36 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1029"
+ }
+ },
+ "SDAOE": {
+ "hide_name": 0,
+ "bits": [ 37 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1030"
+ }
+ }
+ }
+ },
+ "SB_IO": {
+ "attributes": {
+ "blackbox": 1,
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:7"
+ },
+ "ports": {
+ "PACKAGE_PIN": {
+ "direction": "inout",
+ "bits": [ 2 ]
+ },
+ "LATCH_INPUT_VALUE": {
+ "direction": "input",
+ "bits": [ 3 ]
+ },
+ "CLOCK_ENABLE": {
+ "direction": "input",
+ "bits": [ 4 ]
+ },
+ "INPUT_CLK": {
+ "direction": "input",
+ "bits": [ 5 ]
+ },
+ "OUTPUT_CLK": {
+ "direction": "input",
+ "bits": [ 6 ]
+ },
+ "OUTPUT_ENABLE": {
+ "direction": "input",
+ "bits": [ 7 ]
+ },
+ "D_OUT_0": {
+ "direction": "input",
+ "bits": [ 8 ]
+ },
+ "D_OUT_1": {
+ "direction": "input",
+ "bits": [ 9 ]
+ },
+ "D_IN_0": {
+ "direction": "output",
+ "bits": [ 10 ]
+ },
+ "D_IN_1": {
+ "direction": "output",
+ "bits": [ 11 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "CLOCK_ENABLE": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:10"
+ }
+ },
+ "D_IN_0": {
+ "hide_name": 0,
+ "bits": [ 10 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:16"
+ }
+ },
+ "D_IN_1": {
+ "hide_name": 0,
+ "bits": [ 11 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:17"
+ }
+ },
+ "D_OUT_0": {
+ "hide_name": 0,
+ "bits": [ 8 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:14"
+ }
+ },
+ "D_OUT_1": {
+ "hide_name": 0,
+ "bits": [ 9 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:15"
+ }
+ },
+ "INPUT_CLK": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:11"
+ }
+ },
+ "LATCH_INPUT_VALUE": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:9"
+ }
+ },
+ "OUTPUT_CLK": {
+ "hide_name": 0,
+ "bits": [ 6 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:12"
+ }
+ },
+ "OUTPUT_ENABLE": {
+ "hide_name": 0,
+ "bits": [ 7 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:13"
+ }
+ },
+ "PACKAGE_PIN": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:8"
+ }
+ }
+ }
+ },
+ "SB_IO_I3C": {
+ "attributes": {
+ "blackbox": 1,
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1123"
+ },
+ "ports": {
+ "PACKAGE_PIN": {
+ "direction": "inout",
+ "bits": [ 2 ]
+ },
+ "LATCH_INPUT_VALUE": {
+ "direction": "input",
+ "bits": [ 3 ]
+ },
+ "CLOCK_ENABLE": {
+ "direction": "input",
+ "bits": [ 4 ]
+ },
+ "INPUT_CLK": {
+ "direction": "input",
+ "bits": [ 5 ]
+ },
+ "OUTPUT_CLK": {
+ "direction": "input",
+ "bits": [ 6 ]
+ },
+ "OUTPUT_ENABLE": {
+ "direction": "input",
+ "bits": [ 7 ]
+ },
+ "D_OUT_0": {
+ "direction": "input",
+ "bits": [ 8 ]
+ },
+ "D_OUT_1": {
+ "direction": "input",
+ "bits": [ 9 ]
+ },
+ "D_IN_0": {
+ "direction": "output",
+ "bits": [ 10 ]
+ },
+ "D_IN_1": {
+ "direction": "output",
+ "bits": [ 11 ]
+ },
+ "PU_ENB": {
+ "direction": "input",
+ "bits": [ 12 ]
+ },
+ "WEAK_PU_ENB": {
+ "direction": "input",
+ "bits": [ 13 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "CLOCK_ENABLE": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1126"
+ }
+ },
+ "D_IN_0": {
+ "hide_name": 0,
+ "bits": [ 10 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1132"
+ }
+ },
+ "D_IN_1": {
+ "hide_name": 0,
+ "bits": [ 11 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1133"
+ }
+ },
+ "D_OUT_0": {
+ "hide_name": 0,
+ "bits": [ 8 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1130"
+ }
+ },
+ "D_OUT_1": {
+ "hide_name": 0,
+ "bits": [ 9 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1131"
+ }
+ },
+ "INPUT_CLK": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1127"
+ }
+ },
+ "LATCH_INPUT_VALUE": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1125"
+ }
+ },
+ "OUTPUT_CLK": {
+ "hide_name": 0,
+ "bits": [ 6 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1128"
+ }
+ },
+ "OUTPUT_ENABLE": {
+ "hide_name": 0,
+ "bits": [ 7 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1129"
+ }
+ },
+ "PACKAGE_PIN": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1124"
+ }
+ },
+ "PU_ENB": {
+ "hide_name": 0,
+ "bits": [ 12 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1134"
+ }
+ },
+ "WEAK_PU_ENB": {
+ "hide_name": 0,
+ "bits": [ 13 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1135"
+ }
+ }
+ }
+ },
+ "SB_IO_OD": {
+ "attributes": {
+ "blackbox": 1,
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1192"
+ },
+ "ports": {
+ "PACKAGEPIN": {
+ "direction": "inout",
+ "bits": [ 2 ]
+ },
+ "LATCHINPUTVALUE": {
+ "direction": "input",
+ "bits": [ 3 ]
+ },
+ "CLOCKENABLE": {
+ "direction": "input",
+ "bits": [ 4 ]
+ },
+ "INPUTCLK": {
+ "direction": "input",
+ "bits": [ 5 ]
+ },
+ "OUTPUTCLK": {
+ "direction": "input",
+ "bits": [ 6 ]
+ },
+ "OUTPUTENABLE": {
+ "direction": "input",
+ "bits": [ 7 ]
+ },
+ "DOUT1": {
+ "direction": "input",
+ "bits": [ 8 ]
+ },
+ "DOUT0": {
+ "direction": "input",
+ "bits": [ 9 ]
+ },
+ "DIN1": {
+ "direction": "output",
+ "bits": [ 10 ]
+ },
+ "DIN0": {
+ "direction": "output",
+ "bits": [ 11 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "CLOCKENABLE": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1195"
+ }
+ },
+ "DIN0": {
+ "hide_name": 0,
+ "bits": [ 11 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1202"
+ }
+ },
+ "DIN1": {
+ "hide_name": 0,
+ "bits": [ 10 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1201"
+ }
+ },
+ "DOUT0": {
+ "hide_name": 0,
+ "bits": [ 9 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1200"
+ }
+ },
+ "DOUT1": {
+ "hide_name": 0,
+ "bits": [ 8 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1199"
+ }
+ },
+ "INPUTCLK": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1196"
+ }
+ },
+ "LATCHINPUTVALUE": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1194"
+ }
+ },
+ "OUTPUTCLK": {
+ "hide_name": 0,
+ "bits": [ 6 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1197"
+ }
+ },
+ "OUTPUTENABLE": {
+ "hide_name": 0,
+ "bits": [ 7 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1198"
+ }
+ },
+ "PACKAGEPIN": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1193"
+ }
+ }
+ }
+ },
+ "SB_LEDDA_IP": {
+ "attributes": {
+ "blackbox": 1,
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1091"
+ },
+ "ports": {
+ "LEDDCS": {
+ "direction": "input",
+ "bits": [ 2 ]
+ },
+ "LEDDCLK": {
+ "direction": "input",
+ "bits": [ 3 ]
+ },
+ "LEDDDAT7": {
+ "direction": "input",
+ "bits": [ 4 ]
+ },
+ "LEDDDAT6": {
+ "direction": "input",
+ "bits": [ 5 ]
+ },
+ "LEDDDAT5": {
+ "direction": "input",
+ "bits": [ 6 ]
+ },
+ "LEDDDAT4": {
+ "direction": "input",
+ "bits": [ 7 ]
+ },
+ "LEDDDAT3": {
+ "direction": "input",
+ "bits": [ 8 ]
+ },
+ "LEDDDAT2": {
+ "direction": "input",
+ "bits": [ 9 ]
+ },
+ "LEDDDAT1": {
+ "direction": "input",
+ "bits": [ 10 ]
+ },
+ "LEDDDAT0": {
+ "direction": "input",
+ "bits": [ 11 ]
+ },
+ "LEDDADDR3": {
+ "direction": "input",
+ "bits": [ 12 ]
+ },
+ "LEDDADDR2": {
+ "direction": "input",
+ "bits": [ 13 ]
+ },
+ "LEDDADDR1": {
+ "direction": "input",
+ "bits": [ 14 ]
+ },
+ "LEDDADDR0": {
+ "direction": "input",
+ "bits": [ 15 ]
+ },
+ "LEDDDEN": {
+ "direction": "input",
+ "bits": [ 16 ]
+ },
+ "LEDDEXE": {
+ "direction": "input",
+ "bits": [ 17 ]
+ },
+ "LEDDRST": {
+ "direction": "input",
+ "bits": [ 18 ]
+ },
+ "PWMOUT0": {
+ "direction": "output",
+ "bits": [ 19 ]
+ },
+ "PWMOUT1": {
+ "direction": "output",
+ "bits": [ 20 ]
+ },
+ "PWMOUT2": {
+ "direction": "output",
+ "bits": [ 21 ]
+ },
+ "LEDDON": {
+ "direction": "output",
+ "bits": [ 22 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "LEDDADDR0": {
+ "hide_name": 0,
+ "bits": [ 15 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1105"
+ }
+ },
+ "LEDDADDR1": {
+ "hide_name": 0,
+ "bits": [ 14 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1104"
+ }
+ },
+ "LEDDADDR2": {
+ "hide_name": 0,
+ "bits": [ 13 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1103"
+ }
+ },
+ "LEDDADDR3": {
+ "hide_name": 0,
+ "bits": [ 12 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1102"
+ }
+ },
+ "LEDDCLK": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1093"
+ }
+ },
+ "LEDDCS": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1092"
+ }
+ },
+ "LEDDDAT0": {
+ "hide_name": 0,
+ "bits": [ 11 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1101"
+ }
+ },
+ "LEDDDAT1": {
+ "hide_name": 0,
+ "bits": [ 10 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1100"
+ }
+ },
+ "LEDDDAT2": {
+ "hide_name": 0,
+ "bits": [ 9 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1099"
+ }
+ },
+ "LEDDDAT3": {
+ "hide_name": 0,
+ "bits": [ 8 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1098"
+ }
+ },
+ "LEDDDAT4": {
+ "hide_name": 0,
+ "bits": [ 7 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1097"
+ }
+ },
+ "LEDDDAT5": {
+ "hide_name": 0,
+ "bits": [ 6 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1096"
+ }
+ },
+ "LEDDDAT6": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1095"
+ }
+ },
+ "LEDDDAT7": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1094"
+ }
+ },
+ "LEDDDEN": {
+ "hide_name": 0,
+ "bits": [ 16 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1106"
+ }
+ },
+ "LEDDEXE": {
+ "hide_name": 0,
+ "bits": [ 17 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1107"
+ }
+ },
+ "LEDDON": {
+ "hide_name": 0,
+ "bits": [ 22 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1112"
+ }
+ },
+ "LEDDRST": {
+ "hide_name": 0,
+ "bits": [ 18 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1108"
+ }
+ },
+ "PWMOUT0": {
+ "hide_name": 0,
+ "bits": [ 19 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1109"
+ }
+ },
+ "PWMOUT1": {
+ "hide_name": 0,
+ "bits": [ 20 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1110"
+ }
+ },
+ "PWMOUT2": {
+ "hide_name": 0,
+ "bits": [ 21 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1111"
+ }
+ }
+ }
+ },
+ "SB_LFOSC": {
+ "attributes": {
+ "blackbox": 1,
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:969"
+ },
+ "ports": {
+ "CLKLFPU": {
+ "direction": "input",
+ "bits": [ 2 ]
+ },
+ "CLKLFEN": {
+ "direction": "input",
+ "bits": [ 3 ]
+ },
+ "CLKLF": {
+ "direction": "output",
+ "bits": [ 4 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "CLKLF": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:972"
+ }
+ },
+ "CLKLFEN": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:971"
+ }
+ },
+ "CLKLFPU": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:970"
+ }
+ }
+ }
+ },
+ "SB_LUT4": {
+ "attributes": {
+ "blackbox": 1,
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:121"
+ },
+ "ports": {
+ "O": {
+ "direction": "output",
+ "bits": [ 2 ]
+ },
+ "I0": {
+ "direction": "input",
+ "bits": [ 3 ]
+ },
+ "I1": {
+ "direction": "input",
+ "bits": [ 4 ]
+ },
+ "I2": {
+ "direction": "input",
+ "bits": [ 5 ]
+ },
+ "I3": {
+ "direction": "input",
+ "bits": [ 6 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "I0": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:121"
+ }
+ },
+ "I1": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:121"
+ }
+ },
+ "I2": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:121"
+ }
+ },
+ "I3": {
+ "hide_name": 0,
+ "bits": [ 6 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:121"
+ }
+ },
+ "O": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:121"
+ }
+ }
+ }
+ },
+ "SB_MAC16": {
+ "attributes": {
+ "blackbox": 1,
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:872"
+ },
+ "ports": {
+ "CLK": {
+ "direction": "input",
+ "bits": [ 2 ]
+ },
+ "CE": {
+ "direction": "input",
+ "bits": [ 3 ]
+ },
+ "C": {
+ "direction": "input",
+ "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ]
+ },
+ "A": {
+ "direction": "input",
+ "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ]
+ },
+ "B": {
+ "direction": "input",
+ "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ]
+ },
+ "D": {
+ "direction": "input",
+ "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ]
+ },
+ "AHOLD": {
+ "direction": "input",
+ "bits": [ 68 ]
+ },
+ "BHOLD": {
+ "direction": "input",
+ "bits": [ 69 ]
+ },
+ "CHOLD": {
+ "direction": "input",
+ "bits": [ 70 ]
+ },
+ "DHOLD": {
+ "direction": "input",
+ "bits": [ 71 ]
+ },
+ "IRSTTOP": {
+ "direction": "input",
+ "bits": [ 72 ]
+ },
+ "IRSTBOT": {
+ "direction": "input",
+ "bits": [ 73 ]
+ },
+ "ORSTTOP": {
+ "direction": "input",
+ "bits": [ 74 ]
+ },
+ "ORSTBOT": {
+ "direction": "input",
+ "bits": [ 75 ]
+ },
+ "OLOADTOP": {
+ "direction": "input",
+ "bits": [ 76 ]
+ },
+ "OLOADBOT": {
+ "direction": "input",
+ "bits": [ 77 ]
+ },
+ "ADDSUBTOP": {
+ "direction": "input",
+ "bits": [ 78 ]
+ },
+ "ADDSUBBOT": {
+ "direction": "input",
+ "bits": [ 79 ]
+ },
+ "OHOLDTOP": {
+ "direction": "input",
+ "bits": [ 80 ]
+ },
+ "OHOLDBOT": {
+ "direction": "input",
+ "bits": [ 81 ]
+ },
+ "CI": {
+ "direction": "input",
+ "bits": [ 82 ]
+ },
+ "ACCUMCI": {
+ "direction": "input",
+ "bits": [ 83 ]
+ },
+ "SIGNEXTIN": {
+ "direction": "input",
+ "bits": [ 84 ]
+ },
+ "O": {
+ "direction": "output",
+ "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ]
+ },
+ "CO": {
+ "direction": "output",
+ "bits": [ 117 ]
+ },
+ "ACCUMCO": {
+ "direction": "output",
+ "bits": [ 118 ]
+ },
+ "SIGNEXTOUT": {
+ "direction": "output",
+ "bits": [ 119 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "A": {
+ "hide_name": 0,
+ "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:876"
+ }
+ },
+ "ACCUMCI": {
+ "hide_name": 0,
+ "bits": [ 83 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:894"
+ }
+ },
+ "ACCUMCO": {
+ "hide_name": 0,
+ "bits": [ 118 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:898"
+ }
+ },
+ "ADDSUBBOT": {
+ "hide_name": 0,
+ "bits": [ 79 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:890"
+ }
+ },
+ "ADDSUBTOP": {
+ "hide_name": 0,
+ "bits": [ 78 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:889"
+ }
+ },
+ "AHOLD": {
+ "hide_name": 0,
+ "bits": [ 68 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:879"
+ }
+ },
+ "B": {
+ "hide_name": 0,
+ "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:877"
+ }
+ },
+ "BHOLD": {
+ "hide_name": 0,
+ "bits": [ 69 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:880"
+ }
+ },
+ "C": {
+ "hide_name": 0,
+ "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:875"
+ }
+ },
+ "CE": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:874"
+ }
+ },
+ "CHOLD": {
+ "hide_name": 0,
+ "bits": [ 70 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:881"
+ }
+ },
+ "CI": {
+ "hide_name": 0,
+ "bits": [ 82 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:893"
+ }
+ },
+ "CLK": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:873"
+ }
+ },
+ "CO": {
+ "hide_name": 0,
+ "bits": [ 117 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:897"
+ }
+ },
+ "D": {
+ "hide_name": 0,
+ "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:878"
+ }
+ },
+ "DHOLD": {
+ "hide_name": 0,
+ "bits": [ 71 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:882"
+ }
+ },
+ "IRSTBOT": {
+ "hide_name": 0,
+ "bits": [ 73 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:884"
+ }
+ },
+ "IRSTTOP": {
+ "hide_name": 0,
+ "bits": [ 72 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:883"
+ }
+ },
+ "O": {
+ "hide_name": 0,
+ "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:896"
+ }
+ },
+ "OHOLDBOT": {
+ "hide_name": 0,
+ "bits": [ 81 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:892"
+ }
+ },
+ "OHOLDTOP": {
+ "hide_name": 0,
+ "bits": [ 80 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:891"
+ }
+ },
+ "OLOADBOT": {
+ "hide_name": 0,
+ "bits": [ 77 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:888"
+ }
+ },
+ "OLOADTOP": {
+ "hide_name": 0,
+ "bits": [ 76 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:887"
+ }
+ },
+ "ORSTBOT": {
+ "hide_name": 0,
+ "bits": [ 75 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:886"
+ }
+ },
+ "ORSTTOP": {
+ "hide_name": 0,
+ "bits": [ 74 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:885"
+ }
+ },
+ "SIGNEXTIN": {
+ "hide_name": 0,
+ "bits": [ 84 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:895"
+ }
+ },
+ "SIGNEXTOUT": {
+ "hide_name": 0,
+ "bits": [ 119 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:899"
+ }
+ }
+ }
+ },
+ "SB_PLL40_2F_CORE": {
+ "attributes": {
+ "blackbox": 1,
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:791"
+ },
+ "ports": {
+ "REFERENCECLK": {
+ "direction": "input",
+ "bits": [ 2 ]
+ },
+ "PLLOUTCOREA": {
+ "direction": "output",
+ "bits": [ 3 ]
+ },
+ "PLLOUTGLOBALA": {
+ "direction": "output",
+ "bits": [ 4 ]
+ },
+ "PLLOUTCOREB": {
+ "direction": "output",
+ "bits": [ 5 ]
+ },
+ "PLLOUTGLOBALB": {
+ "direction": "output",
+ "bits": [ 6 ]
+ },
+ "EXTFEEDBACK": {
+ "direction": "input",
+ "bits": [ 7 ]
+ },
+ "DYNAMICDELAY": {
+ "direction": "input",
+ "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
+ },
+ "LOCK": {
+ "direction": "output",
+ "bits": [ 16 ]
+ },
+ "BYPASS": {
+ "direction": "input",
+ "bits": [ 17 ]
+ },
+ "RESETB": {
+ "direction": "input",
+ "bits": [ 18 ]
+ },
+ "LATCHINPUTVALUE": {
+ "direction": "input",
+ "bits": [ 19 ]
+ },
+ "SDO": {
+ "direction": "output",
+ "bits": [ 20 ]
+ },
+ "SDI": {
+ "direction": "input",
+ "bits": [ 21 ]
+ },
+ "SCLK": {
+ "direction": "input",
+ "bits": [ 22 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "BYPASS": {
+ "hide_name": 0,
+ "bits": [ 17 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:800"
+ }
+ },
+ "DYNAMICDELAY": {
+ "hide_name": 0,
+ "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:798"
+ }
+ },
+ "EXTFEEDBACK": {
+ "hide_name": 0,
+ "bits": [ 7 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:797"
+ }
+ },
+ "LATCHINPUTVALUE": {
+ "hide_name": 0,
+ "bits": [ 19 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:802"
+ }
+ },
+ "LOCK": {
+ "hide_name": 0,
+ "bits": [ 16 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:799"
+ }
+ },
+ "PLLOUTCOREA": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:793"
+ }
+ },
+ "PLLOUTCOREB": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:795"
+ }
+ },
+ "PLLOUTGLOBALA": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:794"
+ }
+ },
+ "PLLOUTGLOBALB": {
+ "hide_name": 0,
+ "bits": [ 6 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:796"
+ }
+ },
+ "REFERENCECLK": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:792"
+ }
+ },
+ "RESETB": {
+ "hide_name": 0,
+ "bits": [ 18 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:801"
+ }
+ },
+ "SCLK": {
+ "hide_name": 0,
+ "bits": [ 22 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:805"
+ }
+ },
+ "SDI": {
+ "hide_name": 0,
+ "bits": [ 21 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:804"
+ }
+ },
+ "SDO": {
+ "hide_name": 0,
+ "bits": [ 20 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:803"
+ }
+ }
+ }
+ },
+ "SB_PLL40_2F_PAD": {
+ "attributes": {
+ "blackbox": 1,
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:826"
+ },
+ "ports": {
+ "PACKAGEPIN": {
+ "direction": "input",
+ "bits": [ 2 ]
+ },
+ "PLLOUTCOREA": {
+ "direction": "output",
+ "bits": [ 3 ]
+ },
+ "PLLOUTGLOBALA": {
+ "direction": "output",
+ "bits": [ 4 ]
+ },
+ "PLLOUTCOREB": {
+ "direction": "output",
+ "bits": [ 5 ]
+ },
+ "PLLOUTGLOBALB": {
+ "direction": "output",
+ "bits": [ 6 ]
+ },
+ "EXTFEEDBACK": {
+ "direction": "input",
+ "bits": [ 7 ]
+ },
+ "DYNAMICDELAY": {
+ "direction": "input",
+ "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
+ },
+ "LOCK": {
+ "direction": "output",
+ "bits": [ 16 ]
+ },
+ "BYPASS": {
+ "direction": "input",
+ "bits": [ 17 ]
+ },
+ "RESETB": {
+ "direction": "input",
+ "bits": [ 18 ]
+ },
+ "LATCHINPUTVALUE": {
+ "direction": "input",
+ "bits": [ 19 ]
+ },
+ "SDO": {
+ "direction": "output",
+ "bits": [ 20 ]
+ },
+ "SDI": {
+ "direction": "input",
+ "bits": [ 21 ]
+ },
+ "SCLK": {
+ "direction": "input",
+ "bits": [ 22 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "BYPASS": {
+ "hide_name": 0,
+ "bits": [ 17 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:835"
+ }
+ },
+ "DYNAMICDELAY": {
+ "hide_name": 0,
+ "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:833"
+ }
+ },
+ "EXTFEEDBACK": {
+ "hide_name": 0,
+ "bits": [ 7 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:832"
+ }
+ },
+ "LATCHINPUTVALUE": {
+ "hide_name": 0,
+ "bits": [ 19 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:837"
+ }
+ },
+ "LOCK": {
+ "hide_name": 0,
+ "bits": [ 16 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:834"
+ }
+ },
+ "PACKAGEPIN": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:827"
+ }
+ },
+ "PLLOUTCOREA": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:828"
+ }
+ },
+ "PLLOUTCOREB": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:830"
+ }
+ },
+ "PLLOUTGLOBALA": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:829"
+ }
+ },
+ "PLLOUTGLOBALB": {
+ "hide_name": 0,
+ "bits": [ 6 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:831"
+ }
+ },
+ "RESETB": {
+ "hide_name": 0,
+ "bits": [ 18 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:836"
+ }
+ },
+ "SCLK": {
+ "hide_name": 0,
+ "bits": [ 22 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:840"
+ }
+ },
+ "SDI": {
+ "hide_name": 0,
+ "bits": [ 21 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:839"
+ }
+ },
+ "SDO": {
+ "hide_name": 0,
+ "bits": [ 20 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:838"
+ }
+ }
+ }
+ },
+ "SB_PLL40_2_PAD": {
+ "attributes": {
+ "blackbox": 1,
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:757"
+ },
+ "ports": {
+ "PACKAGEPIN": {
+ "direction": "input",
+ "bits": [ 2 ]
+ },
+ "PLLOUTCOREA": {
+ "direction": "output",
+ "bits": [ 3 ]
+ },
+ "PLLOUTGLOBALA": {
+ "direction": "output",
+ "bits": [ 4 ]
+ },
+ "PLLOUTCOREB": {
+ "direction": "output",
+ "bits": [ 5 ]
+ },
+ "PLLOUTGLOBALB": {
+ "direction": "output",
+ "bits": [ 6 ]
+ },
+ "EXTFEEDBACK": {
+ "direction": "input",
+ "bits": [ 7 ]
+ },
+ "DYNAMICDELAY": {
+ "direction": "input",
+ "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
+ },
+ "LOCK": {
+ "direction": "output",
+ "bits": [ 16 ]
+ },
+ "BYPASS": {
+ "direction": "input",
+ "bits": [ 17 ]
+ },
+ "RESETB": {
+ "direction": "input",
+ "bits": [ 18 ]
+ },
+ "LATCHINPUTVALUE": {
+ "direction": "input",
+ "bits": [ 19 ]
+ },
+ "SDO": {
+ "direction": "output",
+ "bits": [ 20 ]
+ },
+ "SDI": {
+ "direction": "input",
+ "bits": [ 21 ]
+ },
+ "SCLK": {
+ "direction": "input",
+ "bits": [ 22 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "BYPASS": {
+ "hide_name": 0,
+ "bits": [ 17 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:766"
+ }
+ },
+ "DYNAMICDELAY": {
+ "hide_name": 0,
+ "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:764"
+ }
+ },
+ "EXTFEEDBACK": {
+ "hide_name": 0,
+ "bits": [ 7 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:763"
+ }
+ },
+ "LATCHINPUTVALUE": {
+ "hide_name": 0,
+ "bits": [ 19 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:768"
+ }
+ },
+ "LOCK": {
+ "hide_name": 0,
+ "bits": [ 16 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:765"
+ }
+ },
+ "PACKAGEPIN": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:758"
+ }
+ },
+ "PLLOUTCOREA": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:759"
+ }
+ },
+ "PLLOUTCOREB": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:761"
+ }
+ },
+ "PLLOUTGLOBALA": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:760"
+ }
+ },
+ "PLLOUTGLOBALB": {
+ "hide_name": 0,
+ "bits": [ 6 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:762"
+ }
+ },
+ "RESETB": {
+ "hide_name": 0,
+ "bits": [ 18 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:767"
+ }
+ },
+ "SCLK": {
+ "hide_name": 0,
+ "bits": [ 22 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:771"
+ }
+ },
+ "SDI": {
+ "hide_name": 0,
+ "bits": [ 21 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:770"
+ }
+ },
+ "SDO": {
+ "hide_name": 0,
+ "bits": [ 20 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:769"
+ }
+ }
+ }
+ },
+ "SB_PLL40_CORE": {
+ "attributes": {
+ "blackbox": 1,
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:695"
+ },
+ "ports": {
+ "REFERENCECLK": {
+ "direction": "input",
+ "bits": [ 2 ]
+ },
+ "PLLOUTCORE": {
+ "direction": "output",
+ "bits": [ 3 ]
+ },
+ "PLLOUTGLOBAL": {
+ "direction": "output",
+ "bits": [ 4 ]
+ },
+ "EXTFEEDBACK": {
+ "direction": "input",
+ "bits": [ 5 ]
+ },
+ "DYNAMICDELAY": {
+ "direction": "input",
+ "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ]
+ },
+ "LOCK": {
+ "direction": "output",
+ "bits": [ 14 ]
+ },
+ "BYPASS": {
+ "direction": "input",
+ "bits": [ 15 ]
+ },
+ "RESETB": {
+ "direction": "input",
+ "bits": [ 16 ]
+ },
+ "LATCHINPUTVALUE": {
+ "direction": "input",
+ "bits": [ 17 ]
+ },
+ "SDO": {
+ "direction": "output",
+ "bits": [ 18 ]
+ },
+ "SDI": {
+ "direction": "input",
+ "bits": [ 19 ]
+ },
+ "SCLK": {
+ "direction": "input",
+ "bits": [ 20 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "BYPASS": {
+ "hide_name": 0,
+ "bits": [ 15 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:702"
+ }
+ },
+ "DYNAMICDELAY": {
+ "hide_name": 0,
+ "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:700"
+ }
+ },
+ "EXTFEEDBACK": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:699"
+ }
+ },
+ "LATCHINPUTVALUE": {
+ "hide_name": 0,
+ "bits": [ 17 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:704"
+ }
+ },
+ "LOCK": {
+ "hide_name": 0,
+ "bits": [ 14 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:701"
+ }
+ },
+ "PLLOUTCORE": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:697"
+ }
+ },
+ "PLLOUTGLOBAL": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:698"
+ }
+ },
+ "REFERENCECLK": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:696"
+ }
+ },
+ "RESETB": {
+ "hide_name": 0,
+ "bits": [ 16 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:703"
+ }
+ },
+ "SCLK": {
+ "hide_name": 0,
+ "bits": [ 20 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:707"
+ }
+ },
+ "SDI": {
+ "hide_name": 0,
+ "bits": [ 19 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:706"
+ }
+ },
+ "SDO": {
+ "hide_name": 0,
+ "bits": [ 18 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:705"
+ }
+ }
+ }
+ },
+ "SB_PLL40_PAD": {
+ "attributes": {
+ "blackbox": 1,
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:726"
+ },
+ "ports": {
+ "PACKAGEPIN": {
+ "direction": "input",
+ "bits": [ 2 ]
+ },
+ "PLLOUTCORE": {
+ "direction": "output",
+ "bits": [ 3 ]
+ },
+ "PLLOUTGLOBAL": {
+ "direction": "output",
+ "bits": [ 4 ]
+ },
+ "EXTFEEDBACK": {
+ "direction": "input",
+ "bits": [ 5 ]
+ },
+ "DYNAMICDELAY": {
+ "direction": "input",
+ "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ]
+ },
+ "LOCK": {
+ "direction": "output",
+ "bits": [ 14 ]
+ },
+ "BYPASS": {
+ "direction": "input",
+ "bits": [ 15 ]
+ },
+ "RESETB": {
+ "direction": "input",
+ "bits": [ 16 ]
+ },
+ "LATCHINPUTVALUE": {
+ "direction": "input",
+ "bits": [ 17 ]
+ },
+ "SDO": {
+ "direction": "output",
+ "bits": [ 18 ]
+ },
+ "SDI": {
+ "direction": "input",
+ "bits": [ 19 ]
+ },
+ "SCLK": {
+ "direction": "input",
+ "bits": [ 20 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "BYPASS": {
+ "hide_name": 0,
+ "bits": [ 15 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:733"
+ }
+ },
+ "DYNAMICDELAY": {
+ "hide_name": 0,
+ "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:731"
+ }
+ },
+ "EXTFEEDBACK": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:730"
+ }
+ },
+ "LATCHINPUTVALUE": {
+ "hide_name": 0,
+ "bits": [ 17 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:735"
+ }
+ },
+ "LOCK": {
+ "hide_name": 0,
+ "bits": [ 14 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:732"
+ }
+ },
+ "PACKAGEPIN": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:727"
+ }
+ },
+ "PLLOUTCORE": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:728"
+ }
+ },
+ "PLLOUTGLOBAL": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:729"
+ }
+ },
+ "RESETB": {
+ "hide_name": 0,
+ "bits": [ 16 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:734"
+ }
+ },
+ "SCLK": {
+ "hide_name": 0,
+ "bits": [ 20 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:738"
+ }
+ },
+ "SDI": {
+ "hide_name": 0,
+ "bits": [ 19 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:737"
+ }
+ },
+ "SDO": {
+ "hide_name": 0,
+ "bits": [ 18 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:736"
+ }
+ }
+ }
+ },
+ "SB_RAM40_4K": {
+ "attributes": {
+ "blackbox": 1,
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:297"
+ },
+ "ports": {
+ "RDATA": {
+ "direction": "output",
+ "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
+ },
+ "RCLK": {
+ "direction": "input",
+ "bits": [ 18 ]
+ },
+ "RCLKE": {
+ "direction": "input",
+ "bits": [ 19 ]
+ },
+ "RE": {
+ "direction": "input",
+ "bits": [ 20 ]
+ },
+ "RADDR": {
+ "direction": "input",
+ "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
+ },
+ "WCLK": {
+ "direction": "input",
+ "bits": [ 32 ]
+ },
+ "WCLKE": {
+ "direction": "input",
+ "bits": [ 33 ]
+ },
+ "WE": {
+ "direction": "input",
+ "bits": [ 34 ]
+ },
+ "WADDR": {
+ "direction": "input",
+ "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
+ },
+ "MASK": {
+ "direction": "input",
+ "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
+ },
+ "WDATA": {
+ "direction": "input",
+ "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "MASK": {
+ "hide_name": 0,
+ "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:303"
+ }
+ },
+ "RADDR": {
+ "hide_name": 0,
+ "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:300"
+ }
+ },
+ "RCLK": {
+ "hide_name": 0,
+ "bits": [ 18 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:299"
+ }
+ },
+ "RCLKE": {
+ "hide_name": 0,
+ "bits": [ 19 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:299"
+ }
+ },
+ "RDATA": {
+ "hide_name": 0,
+ "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:298"
+ }
+ },
+ "RE": {
+ "hide_name": 0,
+ "bits": [ 20 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:299"
+ }
+ },
+ "WADDR": {
+ "hide_name": 0,
+ "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:302"
+ }
+ },
+ "WCLK": {
+ "hide_name": 0,
+ "bits": [ 32 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:301"
+ }
+ },
+ "WCLKE": {
+ "hide_name": 0,
+ "bits": [ 33 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:301"
+ }
+ },
+ "WDATA": {
+ "hide_name": 0,
+ "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:303"
+ }
+ },
+ "WE": {
+ "hide_name": 0,
+ "bits": [ 34 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:301"
+ }
+ }
+ }
+ },
+ "SB_RAM40_4KNR": {
+ "attributes": {
+ "blackbox": 1,
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:460"
+ },
+ "ports": {
+ "RDATA": {
+ "direction": "output",
+ "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
+ },
+ "RCLKN": {
+ "direction": "input",
+ "bits": [ 18 ]
+ },
+ "RCLKE": {
+ "direction": "input",
+ "bits": [ 19 ]
+ },
+ "RE": {
+ "direction": "input",
+ "bits": [ 20 ]
+ },
+ "RADDR": {
+ "direction": "input",
+ "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
+ },
+ "WCLK": {
+ "direction": "input",
+ "bits": [ 32 ]
+ },
+ "WCLKE": {
+ "direction": "input",
+ "bits": [ 33 ]
+ },
+ "WE": {
+ "direction": "input",
+ "bits": [ 34 ]
+ },
+ "WADDR": {
+ "direction": "input",
+ "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
+ },
+ "MASK": {
+ "direction": "input",
+ "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
+ },
+ "WDATA": {
+ "direction": "input",
+ "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "MASK": {
+ "hide_name": 0,
+ "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:466"
+ }
+ },
+ "RADDR": {
+ "hide_name": 0,
+ "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:463"
+ }
+ },
+ "RCLKE": {
+ "hide_name": 0,
+ "bits": [ 19 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:462"
+ }
+ },
+ "RCLKN": {
+ "hide_name": 0,
+ "bits": [ 18 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:462"
+ }
+ },
+ "RDATA": {
+ "hide_name": 0,
+ "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:461"
+ }
+ },
+ "RE": {
+ "hide_name": 0,
+ "bits": [ 20 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:462"
+ }
+ },
+ "WADDR": {
+ "hide_name": 0,
+ "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:465"
+ }
+ },
+ "WCLK": {
+ "hide_name": 0,
+ "bits": [ 32 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:464"
+ }
+ },
+ "WCLKE": {
+ "hide_name": 0,
+ "bits": [ 33 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:464"
+ }
+ },
+ "WDATA": {
+ "hide_name": 0,
+ "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:466"
+ }
+ },
+ "WE": {
+ "hide_name": 0,
+ "bits": [ 34 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:464"
+ }
+ }
+ }
+ },
+ "SB_RAM40_4KNRNW": {
+ "attributes": {
+ "blackbox": 1,
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:584"
+ },
+ "ports": {
+ "RDATA": {
+ "direction": "output",
+ "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
+ },
+ "RCLKN": {
+ "direction": "input",
+ "bits": [ 18 ]
+ },
+ "RCLKE": {
+ "direction": "input",
+ "bits": [ 19 ]
+ },
+ "RE": {
+ "direction": "input",
+ "bits": [ 20 ]
+ },
+ "RADDR": {
+ "direction": "input",
+ "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
+ },
+ "WCLKN": {
+ "direction": "input",
+ "bits": [ 32 ]
+ },
+ "WCLKE": {
+ "direction": "input",
+ "bits": [ 33 ]
+ },
+ "WE": {
+ "direction": "input",
+ "bits": [ 34 ]
+ },
+ "WADDR": {
+ "direction": "input",
+ "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
+ },
+ "MASK": {
+ "direction": "input",
+ "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
+ },
+ "WDATA": {
+ "direction": "input",
+ "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "MASK": {
+ "hide_name": 0,
+ "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:590"
+ }
+ },
+ "RADDR": {
+ "hide_name": 0,
+ "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:587"
+ }
+ },
+ "RCLKE": {
+ "hide_name": 0,
+ "bits": [ 19 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:586"
+ }
+ },
+ "RCLKN": {
+ "hide_name": 0,
+ "bits": [ 18 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:586"
+ }
+ },
+ "RDATA": {
+ "hide_name": 0,
+ "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:585"
+ }
+ },
+ "RE": {
+ "hide_name": 0,
+ "bits": [ 20 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:586"
+ }
+ },
+ "WADDR": {
+ "hide_name": 0,
+ "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:589"
+ }
+ },
+ "WCLKE": {
+ "hide_name": 0,
+ "bits": [ 33 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:588"
+ }
+ },
+ "WCLKN": {
+ "hide_name": 0,
+ "bits": [ 32 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:588"
+ }
+ },
+ "WDATA": {
+ "hide_name": 0,
+ "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:590"
+ }
+ },
+ "WE": {
+ "hide_name": 0,
+ "bits": [ 34 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:588"
+ }
+ }
+ }
+ },
+ "SB_RAM40_4KNW": {
+ "attributes": {
+ "blackbox": 1,
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:522"
+ },
+ "ports": {
+ "RDATA": {
+ "direction": "output",
+ "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
+ },
+ "RCLK": {
+ "direction": "input",
+ "bits": [ 18 ]
+ },
+ "RCLKE": {
+ "direction": "input",
+ "bits": [ 19 ]
+ },
+ "RE": {
+ "direction": "input",
+ "bits": [ 20 ]
+ },
+ "RADDR": {
+ "direction": "input",
+ "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
+ },
+ "WCLKN": {
+ "direction": "input",
+ "bits": [ 32 ]
+ },
+ "WCLKE": {
+ "direction": "input",
+ "bits": [ 33 ]
+ },
+ "WE": {
+ "direction": "input",
+ "bits": [ 34 ]
+ },
+ "WADDR": {
+ "direction": "input",
+ "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
+ },
+ "MASK": {
+ "direction": "input",
+ "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
+ },
+ "WDATA": {
+ "direction": "input",
+ "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "MASK": {
+ "hide_name": 0,
+ "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:528"
+ }
+ },
+ "RADDR": {
+ "hide_name": 0,
+ "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:525"
+ }
+ },
+ "RCLK": {
+ "hide_name": 0,
+ "bits": [ 18 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:524"
+ }
+ },
+ "RCLKE": {
+ "hide_name": 0,
+ "bits": [ 19 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:524"
+ }
+ },
+ "RDATA": {
+ "hide_name": 0,
+ "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:523"
+ }
+ },
+ "RE": {
+ "hide_name": 0,
+ "bits": [ 20 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:524"
+ }
+ },
+ "WADDR": {
+ "hide_name": 0,
+ "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:527"
+ }
+ },
+ "WCLKE": {
+ "hide_name": 0,
+ "bits": [ 33 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:526"
+ }
+ },
+ "WCLKN": {
+ "hide_name": 0,
+ "bits": [ 32 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:526"
+ }
+ },
+ "WDATA": {
+ "hide_name": 0,
+ "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:528"
+ }
+ },
+ "WE": {
+ "hide_name": 0,
+ "bits": [ 34 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:526"
+ }
+ }
+ }
+ },
+ "SB_RGBA_DRV": {
+ "attributes": {
+ "blackbox": 1,
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:977"
+ },
+ "ports": {
+ "CURREN": {
+ "direction": "input",
+ "bits": [ 2 ]
+ },
+ "RGBLEDEN": {
+ "direction": "input",
+ "bits": [ 3 ]
+ },
+ "RGB0PWM": {
+ "direction": "input",
+ "bits": [ 4 ]
+ },
+ "RGB1PWM": {
+ "direction": "input",
+ "bits": [ 5 ]
+ },
+ "RGB2PWM": {
+ "direction": "input",
+ "bits": [ 6 ]
+ },
+ "RGB0": {
+ "direction": "output",
+ "bits": [ 7 ]
+ },
+ "RGB1": {
+ "direction": "output",
+ "bits": [ 8 ]
+ },
+ "RGB2": {
+ "direction": "output",
+ "bits": [ 9 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "CURREN": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:978"
+ }
+ },
+ "RGB0": {
+ "hide_name": 0,
+ "bits": [ 7 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:983"
+ }
+ },
+ "RGB0PWM": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:980"
+ }
+ },
+ "RGB1": {
+ "hide_name": 0,
+ "bits": [ 8 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:984"
+ }
+ },
+ "RGB1PWM": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:981"
+ }
+ },
+ "RGB2": {
+ "hide_name": 0,
+ "bits": [ 9 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:985"
+ }
+ },
+ "RGB2PWM": {
+ "hide_name": 0,
+ "bits": [ 6 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:982"
+ }
+ },
+ "RGBLEDEN": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:979"
+ }
+ }
+ }
+ },
+ "SB_SPI": {
+ "attributes": {
+ "blackbox": 1,
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1037"
+ },
+ "ports": {
+ "SBCLKI": {
+ "direction": "input",
+ "bits": [ 2 ]
+ },
+ "SBRWI": {
+ "direction": "input",
+ "bits": [ 3 ]
+ },
+ "SBSTBI": {
+ "direction": "input",
+ "bits": [ 4 ]
+ },
+ "SBADRI7": {
+ "direction": "input",
+ "bits": [ 5 ]
+ },
+ "SBADRI6": {
+ "direction": "input",
+ "bits": [ 6 ]
+ },
+ "SBADRI5": {
+ "direction": "input",
+ "bits": [ 7 ]
+ },
+ "SBADRI4": {
+ "direction": "input",
+ "bits": [ 8 ]
+ },
+ "SBADRI3": {
+ "direction": "input",
+ "bits": [ 9 ]
+ },
+ "SBADRI2": {
+ "direction": "input",
+ "bits": [ 10 ]
+ },
+ "SBADRI1": {
+ "direction": "input",
+ "bits": [ 11 ]
+ },
+ "SBADRI0": {
+ "direction": "input",
+ "bits": [ 12 ]
+ },
+ "SBDATI7": {
+ "direction": "input",
+ "bits": [ 13 ]
+ },
+ "SBDATI6": {
+ "direction": "input",
+ "bits": [ 14 ]
+ },
+ "SBDATI5": {
+ "direction": "input",
+ "bits": [ 15 ]
+ },
+ "SBDATI4": {
+ "direction": "input",
+ "bits": [ 16 ]
+ },
+ "SBDATI3": {
+ "direction": "input",
+ "bits": [ 17 ]
+ },
+ "SBDATI2": {
+ "direction": "input",
+ "bits": [ 18 ]
+ },
+ "SBDATI1": {
+ "direction": "input",
+ "bits": [ 19 ]
+ },
+ "SBDATI0": {
+ "direction": "input",
+ "bits": [ 20 ]
+ },
+ "MI": {
+ "direction": "input",
+ "bits": [ 21 ]
+ },
+ "SI": {
+ "direction": "input",
+ "bits": [ 22 ]
+ },
+ "SCKI": {
+ "direction": "input",
+ "bits": [ 23 ]
+ },
+ "SCSNI": {
+ "direction": "input",
+ "bits": [ 24 ]
+ },
+ "SBDATO7": {
+ "direction": "output",
+ "bits": [ 25 ]
+ },
+ "SBDATO6": {
+ "direction": "output",
+ "bits": [ 26 ]
+ },
+ "SBDATO5": {
+ "direction": "output",
+ "bits": [ 27 ]
+ },
+ "SBDATO4": {
+ "direction": "output",
+ "bits": [ 28 ]
+ },
+ "SBDATO3": {
+ "direction": "output",
+ "bits": [ 29 ]
+ },
+ "SBDATO2": {
+ "direction": "output",
+ "bits": [ 30 ]
+ },
+ "SBDATO1": {
+ "direction": "output",
+ "bits": [ 31 ]
+ },
+ "SBDATO0": {
+ "direction": "output",
+ "bits": [ 32 ]
+ },
+ "SBACKO": {
+ "direction": "output",
+ "bits": [ 33 ]
+ },
+ "SPIIRQ": {
+ "direction": "output",
+ "bits": [ 34 ]
+ },
+ "SPIWKUP": {
+ "direction": "output",
+ "bits": [ 35 ]
+ },
+ "SO": {
+ "direction": "output",
+ "bits": [ 36 ]
+ },
+ "SOE": {
+ "direction": "output",
+ "bits": [ 37 ]
+ },
+ "MO": {
+ "direction": "output",
+ "bits": [ 38 ]
+ },
+ "MOE": {
+ "direction": "output",
+ "bits": [ 39 ]
+ },
+ "SCKO": {
+ "direction": "output",
+ "bits": [ 40 ]
+ },
+ "SCKOE": {
+ "direction": "output",
+ "bits": [ 41 ]
+ },
+ "MCSNO3": {
+ "direction": "output",
+ "bits": [ 42 ]
+ },
+ "MCSNO2": {
+ "direction": "output",
+ "bits": [ 43 ]
+ },
+ "MCSNO1": {
+ "direction": "output",
+ "bits": [ 44 ]
+ },
+ "MCSNO0": {
+ "direction": "output",
+ "bits": [ 45 ]
+ },
+ "MCSNOE3": {
+ "direction": "output",
+ "bits": [ 46 ]
+ },
+ "MCSNOE2": {
+ "direction": "output",
+ "bits": [ 47 ]
+ },
+ "MCSNOE1": {
+ "direction": "output",
+ "bits": [ 48 ]
+ },
+ "MCSNOE0": {
+ "direction": "output",
+ "bits": [ 49 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "MCSNO0": {
+ "hide_name": 0,
+ "bits": [ 45 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1081"
+ }
+ },
+ "MCSNO1": {
+ "hide_name": 0,
+ "bits": [ 44 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1080"
+ }
+ },
+ "MCSNO2": {
+ "hide_name": 0,
+ "bits": [ 43 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1079"
+ }
+ },
+ "MCSNO3": {
+ "hide_name": 0,
+ "bits": [ 42 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1078"
+ }
+ },
+ "MCSNOE0": {
+ "hide_name": 0,
+ "bits": [ 49 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1085"
+ }
+ },
+ "MCSNOE1": {
+ "hide_name": 0,
+ "bits": [ 48 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1084"
+ }
+ },
+ "MCSNOE2": {
+ "hide_name": 0,
+ "bits": [ 47 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1083"
+ }
+ },
+ "MCSNOE3": {
+ "hide_name": 0,
+ "bits": [ 46 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1082"
+ }
+ },
+ "MI": {
+ "hide_name": 0,
+ "bits": [ 21 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1057"
+ }
+ },
+ "MO": {
+ "hide_name": 0,
+ "bits": [ 38 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1074"
+ }
+ },
+ "MOE": {
+ "hide_name": 0,
+ "bits": [ 39 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1075"
+ }
+ },
+ "SBACKO": {
+ "hide_name": 0,
+ "bits": [ 33 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1069"
+ }
+ },
+ "SBADRI0": {
+ "hide_name": 0,
+ "bits": [ 12 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1048"
+ }
+ },
+ "SBADRI1": {
+ "hide_name": 0,
+ "bits": [ 11 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1047"
+ }
+ },
+ "SBADRI2": {
+ "hide_name": 0,
+ "bits": [ 10 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1046"
+ }
+ },
+ "SBADRI3": {
+ "hide_name": 0,
+ "bits": [ 9 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1045"
+ }
+ },
+ "SBADRI4": {
+ "hide_name": 0,
+ "bits": [ 8 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1044"
+ }
+ },
+ "SBADRI5": {
+ "hide_name": 0,
+ "bits": [ 7 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1043"
+ }
+ },
+ "SBADRI6": {
+ "hide_name": 0,
+ "bits": [ 6 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1042"
+ }
+ },
+ "SBADRI7": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1041"
+ }
+ },
+ "SBCLKI": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1038"
+ }
+ },
+ "SBDATI0": {
+ "hide_name": 0,
+ "bits": [ 20 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1056"
+ }
+ },
+ "SBDATI1": {
+ "hide_name": 0,
+ "bits": [ 19 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1055"
+ }
+ },
+ "SBDATI2": {
+ "hide_name": 0,
+ "bits": [ 18 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1054"
+ }
+ },
+ "SBDATI3": {
+ "hide_name": 0,
+ "bits": [ 17 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1053"
+ }
+ },
+ "SBDATI4": {
+ "hide_name": 0,
+ "bits": [ 16 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1052"
+ }
+ },
+ "SBDATI5": {
+ "hide_name": 0,
+ "bits": [ 15 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1051"
+ }
+ },
+ "SBDATI6": {
+ "hide_name": 0,
+ "bits": [ 14 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1050"
+ }
+ },
+ "SBDATI7": {
+ "hide_name": 0,
+ "bits": [ 13 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1049"
+ }
+ },
+ "SBDATO0": {
+ "hide_name": 0,
+ "bits": [ 32 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1068"
+ }
+ },
+ "SBDATO1": {
+ "hide_name": 0,
+ "bits": [ 31 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1067"
+ }
+ },
+ "SBDATO2": {
+ "hide_name": 0,
+ "bits": [ 30 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1066"
+ }
+ },
+ "SBDATO3": {
+ "hide_name": 0,
+ "bits": [ 29 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1065"
+ }
+ },
+ "SBDATO4": {
+ "hide_name": 0,
+ "bits": [ 28 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1064"
+ }
+ },
+ "SBDATO5": {
+ "hide_name": 0,
+ "bits": [ 27 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1063"
+ }
+ },
+ "SBDATO6": {
+ "hide_name": 0,
+ "bits": [ 26 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1062"
+ }
+ },
+ "SBDATO7": {
+ "hide_name": 0,
+ "bits": [ 25 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1061"
+ }
+ },
+ "SBRWI": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1039"
+ }
+ },
+ "SBSTBI": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1040"
+ }
+ },
+ "SCKI": {
+ "hide_name": 0,
+ "bits": [ 23 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1059"
+ }
+ },
+ "SCKO": {
+ "hide_name": 0,
+ "bits": [ 40 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1076"
+ }
+ },
+ "SCKOE": {
+ "hide_name": 0,
+ "bits": [ 41 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1077"
+ }
+ },
+ "SCSNI": {
+ "hide_name": 0,
+ "bits": [ 24 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1060"
+ }
+ },
+ "SI": {
+ "hide_name": 0,
+ "bits": [ 22 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1058"
+ }
+ },
+ "SO": {
+ "hide_name": 0,
+ "bits": [ 36 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1072"
+ }
+ },
+ "SOE": {
+ "hide_name": 0,
+ "bits": [ 37 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1073"
+ }
+ },
+ "SPIIRQ": {
+ "hide_name": 0,
+ "bits": [ 34 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1070"
+ }
+ },
+ "SPIWKUP": {
+ "hide_name": 0,
+ "bits": [ 35 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:1071"
+ }
+ }
+ }
+ },
+ "SB_SPRAM256KA": {
+ "attributes": {
+ "blackbox": 1,
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:923"
+ },
+ "ports": {
+ "ADDRESS": {
+ "direction": "input",
+ "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ]
+ },
+ "DATAIN": {
+ "direction": "input",
+ "bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
+ },
+ "MASKWREN": {
+ "direction": "input",
+ "bits": [ 32, 33, 34, 35 ]
+ },
+ "WREN": {
+ "direction": "input",
+ "bits": [ 36 ]
+ },
+ "CHIPSELECT": {
+ "direction": "input",
+ "bits": [ 37 ]
+ },
+ "CLOCK": {
+ "direction": "input",
+ "bits": [ 38 ]
+ },
+ "STANDBY": {
+ "direction": "input",
+ "bits": [ 39 ]
+ },
+ "SLEEP": {
+ "direction": "input",
+ "bits": [ 40 ]
+ },
+ "POWEROFF": {
+ "direction": "input",
+ "bits": [ 41 ]
+ },
+ "DATAOUT": {
+ "direction": "output",
+ "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "ADDRESS": {
+ "hide_name": 0,
+ "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:924"
+ }
+ },
+ "CHIPSELECT": {
+ "hide_name": 0,
+ "bits": [ 37 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:927"
+ }
+ },
+ "CLOCK": {
+ "hide_name": 0,
+ "bits": [ 38 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:927"
+ }
+ },
+ "DATAIN": {
+ "hide_name": 0,
+ "bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:925"
+ }
+ },
+ "DATAOUT": {
+ "hide_name": 0,
+ "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:928"
+ }
+ },
+ "MASKWREN": {
+ "hide_name": 0,
+ "bits": [ 32, 33, 34, 35 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:926"
+ }
+ },
+ "POWEROFF": {
+ "hide_name": 0,
+ "bits": [ 41 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:927"
+ }
+ },
+ "SLEEP": {
+ "hide_name": 0,
+ "bits": [ 40 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:927"
+ }
+ },
+ "STANDBY": {
+ "hide_name": 0,
+ "bits": [ 39 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:927"
+ }
+ },
+ "WREN": {
+ "hide_name": 0,
+ "bits": [ 36 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:927"
+ }
+ }
+ }
+ },
+ "SB_WARMBOOT": {
+ "attributes": {
+ "keep": 1,
+ "blackbox": 1,
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:863"
+ },
+ "ports": {
+ "BOOT": {
+ "direction": "input",
+ "bits": [ 2 ]
+ },
+ "S1": {
+ "direction": "input",
+ "bits": [ 3 ]
+ },
+ "S0": {
+ "direction": "input",
+ "bits": [ 4 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "BOOT": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:864"
+ }
+ },
+ "S0": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:866"
+ }
+ },
+ "S1": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_sim.v:865"
+ }
+ }
+ }
+ },
+ "top": {
+ "attributes": {
+ "top": 1,
+ "src": "top.v:2"
+ },
+ "ports": {
+ "clk16": {
+ "direction": "input",
+ "bits": [ 2 ]
+ },
+ "spiflash_cs_n": {
+ "direction": "output",
+ "bits": [ 3 ]
+ },
+ "spiflash_clk": {
+ "direction": "output",
+ "bits": [ 4 ]
+ },
+ "spiflash_mosi": {
+ "direction": "output",
+ "bits": [ 5 ]
+ },
+ "spiflash_miso": {
+ "direction": "input",
+ "bits": [ 6 ]
+ },
+ "spiflash_wp": {
+ "direction": "output",
+ "bits": [ "1" ]
+ },
+ "spiflash_hold": {
+ "direction": "output",
+ "bits": [ "1" ]
+ },
+ "serial_rx": {
+ "direction": "input",
+ "bits": [ 7 ]
+ },
+ "serial_tx": {
+ "direction": "output",
+ "bits": [ 8 ]
+ },
+ "usb_d_p": {
+ "direction": "inout",
+ "bits": [ 9 ]
+ },
+ "usb_d_n": {
+ "direction": "inout",
+ "bits": [ 10 ]
+ },
+ "usb_pullup": {
+ "direction": "output",
+ "bits": [ 11 ]
+ },
+ "user_led0": {
+ "direction": "output",
+ "bits": [ 12 ]
+ }
+ },
+ "cells": {
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25144": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 13 ],
+ "I1": [ 14 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 15 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25145": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 16 ],
+ "I1": [ 17 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 18 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25146": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 127
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 19 ],
+ "I1": [ 20 ],
+ "I2": [ 21 ],
+ "I3": [ "0" ],
+ "O": [ 16 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25147": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 32768
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 22 ],
+ "I1": [ 23 ],
+ "I2": [ 24 ],
+ "I3": [ 25 ],
+ "O": [ 19 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25148": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 32768
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 26 ],
+ "I1": [ 27 ],
+ "I2": [ 28 ],
+ "I3": [ 29 ],
+ "O": [ 20 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25149": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 32768
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 30 ],
+ "I1": [ 31 ],
+ "I2": [ 32 ],
+ "I3": [ 33 ],
+ "O": [ 21 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25150": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 16 ],
+ "I1": [ 34 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 35 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25151": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 16 ],
+ "I1": [ 36 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 37 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25152": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 16 ],
+ "I1": [ 38 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 39 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25153": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 16 ],
+ "I1": [ 40 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 41 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25154": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 16 ],
+ "I1": [ 42 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 43 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25155": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 7
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 44 ],
+ "I1": [ 45 ],
+ "I2": [ 16 ],
+ "I3": [ "0" ],
+ "O": [ 46 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25156": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 47 ],
+ "I1": [ 48 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 45 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25157": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 49 ],
+ "I1": [ 50 ],
+ "I2": [ 51 ],
+ "I3": [ "0" ],
+ "O": [ 48 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25158": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4096
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 52 ],
+ "I1": [ 53 ],
+ "I2": [ 54 ],
+ "I3": [ 55 ],
+ "O": [ 44 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25159": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 16 ],
+ "I1": [ 56 ],
+ "I2": [ 57 ],
+ "I3": [ "0" ],
+ "O": [ 58 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25160": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 129
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 59 ],
+ "I1": [ 60 ],
+ "I2": [ 61 ],
+ "I3": [ "0" ],
+ "O": [ 56 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25161": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 16 ],
+ "I1": [ 56 ],
+ "I2": [ 62 ],
+ "I3": [ "0" ],
+ "O": [ 63 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25162": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 16 ],
+ "I1": [ 56 ],
+ "I2": [ 64 ],
+ "I3": [ "0" ],
+ "O": [ 65 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25163": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 16 ],
+ "I1": [ 56 ],
+ "I2": [ 66 ],
+ "I3": [ "0" ],
+ "O": [ 67 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25164": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 16 ],
+ "I1": [ 56 ],
+ "I2": [ 68 ],
+ "I3": [ "0" ],
+ "O": [ 69 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25165": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 16 ],
+ "I1": [ 56 ],
+ "I2": [ 70 ],
+ "I3": [ "0" ],
+ "O": [ 71 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25166": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 72 ],
+ "I1": [ 73 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 74 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25167": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 13
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 75 ],
+ "I1": [ 76 ],
+ "I2": [ 16 ],
+ "I3": [ "0" ],
+ "O": [ 73 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25168": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 16
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 16 ],
+ "I1": [ 77 ],
+ "I2": [ 78 ],
+ "I3": [ "0" ],
+ "O": [ 79 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25169": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 80 ],
+ "I1": [ 81 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 78 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25170": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 82 ],
+ "I1": [ 83 ],
+ "I2": [ 84 ],
+ "I3": [ "0" ],
+ "O": [ 80 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25171": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 16384
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 85 ],
+ "I1": [ 86 ],
+ "I2": [ 87 ],
+ "I3": [ 88 ],
+ "O": [ 82 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25172": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 128
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 89 ],
+ "I1": [ 90 ],
+ "I2": [ 91 ],
+ "I3": [ "0" ],
+ "O": [ 88 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25173": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 92 ],
+ "I1": [ 93 ],
+ "I2": [ 94 ],
+ "I3": [ "0" ],
+ "O": [ 89 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25174": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 95 ],
+ "I1": [ 96 ],
+ "I2": [ 97 ],
+ "I3": [ 98 ],
+ "O": [ 90 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25175": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 99 ],
+ "I1": [ 100 ],
+ "I2": [ 101 ],
+ "I3": [ 102 ],
+ "O": [ 91 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25176": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 103 ],
+ "I1": [ 104 ],
+ "I2": [ 105 ],
+ "I3": [ 106 ],
+ "O": [ 86 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25177": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 60 ],
+ "I1": [ 61 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 81 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25178": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 256
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 16 ],
+ "I1": [ 107 ],
+ "I2": [ 108 ],
+ "I3": [ 109 ],
+ "O": [ 110 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25179": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 13 ],
+ "I1": [ 111 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 112 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25180": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 32 ],
+ "I1": [ 13 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 113 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25181": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 13 ],
+ "I1": [ 114 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 115 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25182": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 13 ],
+ "I1": [ 116 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 117 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25183": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 13 ],
+ "I1": [ 118 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 119 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25184": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 13 ],
+ "I1": [ 120 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 121 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25185": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 13 ],
+ "I1": [ 122 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 123 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25186": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 13 ],
+ "I1": [ 124 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 125 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25187": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 13 ],
+ "I1": [ 126 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 127 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25188": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 13 ],
+ "I1": [ 128 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 129 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25189": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 13 ],
+ "I1": [ 130 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 131 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25190": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 64
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 132 ],
+ "I1": [ 133 ],
+ "I2": [ 134 ],
+ "I3": [ "0" ],
+ "O": [ 135 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25191": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 16
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 133 ],
+ "I1": [ 132 ],
+ "I2": [ 134 ],
+ "I3": [ "0" ],
+ "O": [ 136 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25192": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 16
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 132 ],
+ "I1": [ 134 ],
+ "I2": [ 133 ],
+ "I3": [ "0" ],
+ "O": [ 137 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25193": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 126
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 138 ],
+ "I1": [ 139 ],
+ "I2": [ 140 ],
+ "I3": [ "0" ],
+ "O": [ 141 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25194": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 142 ],
+ "I1": [ 143 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 144 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25195": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 11
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 145 ],
+ "I1": [ 146 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 142 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25196": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 30
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 143 ],
+ "I1": [ 146 ],
+ "I2": [ 145 ],
+ "I3": [ "0" ],
+ "O": [ 147 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25197": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 65534
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 148 ],
+ "I1": [ 149 ],
+ "I2": [ 150 ],
+ "I3": [ 151 ],
+ "O": [ 152 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25198": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 34680
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 153 ],
+ "I1": [ 154 ],
+ "I2": [ 155 ],
+ "I3": [ 156 ],
+ "O": [ 148 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25199": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 83
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 157 ],
+ "I1": [ 158 ],
+ "I2": [ 159 ],
+ "I3": [ "0" ],
+ "O": [ 160 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25200": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 53
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 161 ],
+ "I1": [ 162 ],
+ "I2": [ 159 ],
+ "I3": [ "0" ],
+ "O": [ 163 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25201": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 64
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 164 ],
+ "I1": [ 165 ],
+ "I2": [ 166 ],
+ "I3": [ "0" ],
+ "O": [ 167 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25202": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 168 ],
+ "I1": [ 169 ],
+ "I2": [ 170 ],
+ "I3": [ "0" ],
+ "O": [ 166 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25203": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 36864
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 171 ],
+ "I1": [ 172 ],
+ "I2": [ 173 ],
+ "I3": [ 174 ],
+ "O": [ 175 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25204": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 36873
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 176 ],
+ "I1": [ 177 ],
+ "I2": [ 178 ],
+ "I3": [ 179 ],
+ "O": [ 173 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25205": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 36873
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 180 ],
+ "I1": [ 181 ],
+ "I2": [ 182 ],
+ "I3": [ 183 ],
+ "O": [ 174 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25206": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 21420
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 184 ],
+ "I1": [ 185 ],
+ "I2": [ 153 ],
+ "I3": [ 186 ],
+ "O": [ 149 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25207": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 21420
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 187 ],
+ "I1": [ 154 ],
+ "I2": [ 153 ],
+ "I3": [ 188 ],
+ "O": [ 150 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25208": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 21420
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 189 ],
+ "I1": [ 190 ],
+ "I2": [ 153 ],
+ "I3": [ 191 ],
+ "O": [ 151 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25209": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 304
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 192 ],
+ "I1": [ 193 ],
+ "I2": [ 194 ],
+ "I3": [ 195 ],
+ "O": [ 196 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25210": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 16
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 194 ],
+ "I1": [ 195 ],
+ "I2": [ 193 ],
+ "I3": [ "0" ],
+ "O": [ 197 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25211": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 65408
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 198 ],
+ "I1": [ 199 ],
+ "I2": [ 200 ],
+ "I3": [ 16 ],
+ "O": [ 201 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25212": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 16
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 202 ],
+ "I1": [ 203 ],
+ "I2": [ 204 ],
+ "I3": [ "0" ],
+ "O": [ 199 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25213": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 205 ],
+ "I1": [ 206 ],
+ "I2": [ 207 ],
+ "I3": [ "0" ],
+ "O": [ 204 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25214": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 256
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 208 ],
+ "I1": [ 209 ],
+ "I2": [ 210 ],
+ "I3": [ 211 ],
+ "O": [ 200 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25215": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 65408
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 198 ],
+ "I1": [ 199 ],
+ "I2": [ 212 ],
+ "I3": [ 16 ],
+ "O": [ 213 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25216": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4096
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 211 ],
+ "I1": [ 210 ],
+ "I2": [ 208 ],
+ "I3": [ 209 ],
+ "O": [ 212 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25217": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 65408
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 198 ],
+ "I1": [ 199 ],
+ "I2": [ 214 ],
+ "I3": [ 16 ],
+ "O": [ 215 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25218": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 256
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 208 ],
+ "I1": [ 211 ],
+ "I2": [ 210 ],
+ "I3": [ 209 ],
+ "O": [ 214 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25219": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 65408
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 198 ],
+ "I1": [ 199 ],
+ "I2": [ 216 ],
+ "I3": [ 16 ],
+ "O": [ 217 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25220": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 256
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 209 ],
+ "I1": [ 211 ],
+ "I2": [ 210 ],
+ "I3": [ 208 ],
+ "O": [ 216 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25221": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 248
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 218 ],
+ "I1": [ 219 ],
+ "I2": [ 16 ],
+ "I3": [ "0" ],
+ "O": [ 220 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25222": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 112
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 221 ],
+ "I1": [ 222 ],
+ "I2": [ 82 ],
+ "I3": [ "0" ],
+ "O": [ 218 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25223": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 32768
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 223 ],
+ "I1": [ 224 ],
+ "I2": [ 225 ],
+ "I3": [ 226 ],
+ "O": [ 222 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25224": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 32768
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 227 ],
+ "I1": [ 228 ],
+ "I2": [ 229 ],
+ "I3": [ 230 ],
+ "O": [ 223 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25225": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 32768
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 231 ],
+ "I1": [ 232 ],
+ "I2": [ 233 ],
+ "I3": [ 234 ],
+ "O": [ 224 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25226": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 32768
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 235 ],
+ "I1": [ 236 ],
+ "I2": [ 237 ],
+ "I3": [ 238 ],
+ "O": [ 225 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25227": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 32768
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 239 ],
+ "I1": [ 240 ],
+ "I2": [ 241 ],
+ "I3": [ 242 ],
+ "O": [ 226 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25228": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 32768
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 243 ],
+ "I1": [ 244 ],
+ "I2": [ 245 ],
+ "I3": [ 246 ],
+ "O": [ 221 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25229": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 32768
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 247 ],
+ "I1": [ 248 ],
+ "I2": [ 249 ],
+ "I3": [ 250 ],
+ "O": [ 243 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25230": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 32768
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 219 ],
+ "I1": [ 251 ],
+ "I2": [ 252 ],
+ "I3": [ 253 ],
+ "O": [ 244 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25231": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 32768
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 254 ],
+ "I1": [ 255 ],
+ "I2": [ 256 ],
+ "I3": [ 257 ],
+ "O": [ 245 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25232": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 32768
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 258 ],
+ "I1": [ 259 ],
+ "I2": [ 260 ],
+ "I3": [ 261 ],
+ "O": [ 246 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25233": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 14
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 218 ],
+ "I1": [ 16 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 262 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25234": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 14
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 16 ],
+ "I1": [ 263 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 264 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25235": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 65528
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 265 ],
+ "I1": [ 81 ],
+ "I2": [ 264 ],
+ "I3": [ 266 ],
+ "O": [ 267 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25236": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 64
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 268 ],
+ "I1": [ 269 ],
+ "I2": [ 270 ],
+ "I3": [ "0" ],
+ "O": [ 265 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25237": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 248
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 271 ],
+ "I1": [ 272 ],
+ "I2": [ 16 ],
+ "I3": [ "0" ],
+ "O": [ 273 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25238": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 274 ],
+ "I1": [ 198 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 271 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25239": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 64
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 203 ],
+ "I1": [ 202 ],
+ "I2": [ 275 ],
+ "I3": [ "0" ],
+ "O": [ 274 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25240": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 16
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 206 ],
+ "I1": [ 207 ],
+ "I2": [ 205 ],
+ "I3": [ "0" ],
+ "O": [ 275 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25241": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 276 ],
+ "I1": [ 212 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 272 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25242": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 248
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 271 ],
+ "I1": [ 277 ],
+ "I2": [ 16 ],
+ "I3": [ "0" ],
+ "O": [ 278 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25243": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 276 ],
+ "I1": [ 214 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 277 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25244": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 248
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 271 ],
+ "I1": [ 279 ],
+ "I2": [ 16 ],
+ "I3": [ "0" ],
+ "O": [ 280 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25245": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 276 ],
+ "I1": [ 216 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 279 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25246": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 248
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 271 ],
+ "I1": [ 281 ],
+ "I2": [ 16 ],
+ "I3": [ "0" ],
+ "O": [ 282 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25247": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 256
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 276 ],
+ "I1": [ 211 ],
+ "I2": [ 210 ],
+ "I3": [ 283 ],
+ "O": [ 281 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25248": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 208 ],
+ "I1": [ 209 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 283 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25249": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 248
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 271 ],
+ "I1": [ 284 ],
+ "I2": [ 16 ],
+ "I3": [ "0" ],
+ "O": [ 285 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25250": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 276 ],
+ "I1": [ 286 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 284 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25251": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 16384
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 210 ],
+ "I1": [ 209 ],
+ "I2": [ 211 ],
+ "I3": [ 208 ],
+ "O": [ 286 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25252": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 248
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 271 ],
+ "I1": [ 287 ],
+ "I2": [ 16 ],
+ "I3": [ "0" ],
+ "O": [ 288 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25253": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 276 ],
+ "I1": [ 289 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 287 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25254": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4096
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 208 ],
+ "I1": [ 210 ],
+ "I2": [ 211 ],
+ "I3": [ 209 ],
+ "O": [ 289 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25255": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 248
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 271 ],
+ "I1": [ 290 ],
+ "I2": [ 16 ],
+ "I3": [ "0" ],
+ "O": [ 291 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25256": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 276 ],
+ "I1": [ 292 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 290 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25257": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4096
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 209 ],
+ "I1": [ 210 ],
+ "I2": [ 211 ],
+ "I3": [ 208 ],
+ "O": [ 292 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25258": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 248
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 271 ],
+ "I1": [ 293 ],
+ "I2": [ 16 ],
+ "I3": [ "0" ],
+ "O": [ 294 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25259": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 276 ],
+ "I1": [ 200 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 293 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25260": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 248
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 271 ],
+ "I1": [ 295 ],
+ "I2": [ 16 ],
+ "I3": [ "0" ],
+ "O": [ 296 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25261": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 276 ],
+ "I1": [ 297 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 295 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25262": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 256
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 208 ],
+ "I1": [ 209 ],
+ "I2": [ 211 ],
+ "I3": [ 210 ],
+ "O": [ 297 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25263": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 248
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 271 ],
+ "I1": [ 298 ],
+ "I2": [ 16 ],
+ "I3": [ "0" ],
+ "O": [ 299 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25264": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 64
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 276 ],
+ "I1": [ 300 ],
+ "I2": [ 301 ],
+ "I3": [ "0" ],
+ "O": [ 298 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25265": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 209 ],
+ "I1": [ 208 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 301 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25266": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 211 ],
+ "I1": [ 210 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 300 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25267": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 302 ],
+ "I1": [ 303 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 304 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25268": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 32767
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 305 ],
+ "I1": [ 306 ],
+ "I2": [ 307 ],
+ "I3": [ 308 ],
+ "O": [ 302 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25269": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 32768
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 309 ],
+ "I1": [ 310 ],
+ "I2": [ 311 ],
+ "I3": [ 312 ],
+ "O": [ 305 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25270": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 313 ],
+ "I1": [ 314 ],
+ "I2": [ 315 ],
+ "I3": [ 316 ],
+ "O": [ 309 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25271": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 317 ],
+ "I1": [ 318 ],
+ "I2": [ 319 ],
+ "I3": [ 320 ],
+ "O": [ 310 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25272": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 321 ],
+ "I1": [ 322 ],
+ "I2": [ 323 ],
+ "I3": [ 324 ],
+ "O": [ 311 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25273": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 325 ],
+ "I1": [ 326 ],
+ "I2": [ 327 ],
+ "I3": [ 328 ],
+ "O": [ 312 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25274": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 329 ],
+ "I1": [ 330 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 306 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25275": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 331 ],
+ "I1": [ 332 ],
+ "I2": [ 333 ],
+ "I3": [ 334 ],
+ "O": [ 329 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25276": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 335 ],
+ "I1": [ 336 ],
+ "I2": [ 337 ],
+ "I3": [ 338 ],
+ "O": [ 330 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25277": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 339 ],
+ "I1": [ 340 ],
+ "I2": [ 341 ],
+ "I3": [ 342 ],
+ "O": [ 307 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25278": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 343 ],
+ "I1": [ 344 ],
+ "I2": [ 345 ],
+ "I3": [ 346 ],
+ "O": [ 308 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25279": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 11
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 304 ],
+ "I1": [ 347 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 348 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25280": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 127
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 349 ],
+ "I1": [ 271 ],
+ "I2": [ 350 ],
+ "I3": [ 16 ],
+ "O": [ 347 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25281": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 16384
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 276 ],
+ "I1": [ 351 ],
+ "I2": [ 208 ],
+ "I3": [ 209 ],
+ "O": [ 350 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25282": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 211 ],
+ "I1": [ 210 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 351 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25283": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 248
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 352 ],
+ "I1": [ 271 ],
+ "I2": [ 16 ],
+ "I3": [ "0" ],
+ "O": [ 353 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25284": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 354 ],
+ "I1": [ 276 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 352 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25285": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 16
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 211 ],
+ "I1": [ 210 ],
+ "I2": [ 283 ],
+ "I3": [ "0" ],
+ "O": [ 354 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25286": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 191
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 16 ],
+ "I1": [ 335 ],
+ "I2": [ 355 ],
+ "I3": [ "0" ],
+ "O": [ 356 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25287": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 7
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 46 ],
+ "I1": [ 357 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 358 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25288": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 7
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 359 ],
+ "I1": [ 48 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 357 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25289": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 44 ],
+ "I1": [ 47 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 359 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25290": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 65408
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 198 ],
+ "I1": [ 360 ],
+ "I2": [ 283 ],
+ "I3": [ 16 ],
+ "O": [ 361 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25291": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 64
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 202 ],
+ "I1": [ 204 ],
+ "I2": [ 203 ],
+ "I3": [ "0" ],
+ "O": [ 360 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25292": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 14
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 362 ],
+ "I1": [ 16 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 363 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25293": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 16384
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 208 ],
+ "I1": [ 360 ],
+ "I2": [ 209 ],
+ "I3": [ 198 ],
+ "O": [ 362 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25294": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 11
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 364 ],
+ "I1": [ 46 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 365 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25295": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 128
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 366 ],
+ "I1": [ 367 ],
+ "I2": [ 81 ],
+ "I3": [ "0" ],
+ "O": [ 364 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25296": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 16
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 52 ],
+ "I1": [ 54 ],
+ "I2": [ 368 ],
+ "I3": [ "0" ],
+ "O": [ 366 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25297": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 16
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 53 ],
+ "I1": [ 55 ],
+ "I2": [ 45 ],
+ "I3": [ "0" ],
+ "O": [ 368 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25298": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 369 ],
+ "I1": [ 370 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 367 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25299": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 16
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 268 ],
+ "I1": [ 269 ],
+ "I2": [ 270 ],
+ "I3": [ "0" ],
+ "O": [ 369 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25300": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 14
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 16 ],
+ "I1": [ 370 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 371 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25301": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 14
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 372 ],
+ "I1": [ 371 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 373 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25302": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 64
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 52 ],
+ "I1": [ 368 ],
+ "I2": [ 54 ],
+ "I3": [ "0" ],
+ "O": [ 372 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25303": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 11
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 16 ],
+ "I1": [ 370 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 374 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25304": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 63743
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 81 ],
+ "I1": [ 367 ],
+ "I2": [ 16 ],
+ "I3": [ 366 ],
+ "O": [ 375 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25305": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 61184
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 16 ],
+ "I1": [ 47 ],
+ "I2": [ 376 ],
+ "I3": [ 375 ],
+ "O": [ 377 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25306": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 366 ],
+ "I1": [ 378 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 376 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25307": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4096
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 50 ],
+ "I1": [ 51 ],
+ "I2": [ 359 ],
+ "I3": [ 49 ],
+ "O": [ 378 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25308": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 11
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 16 ],
+ "I1": [ 379 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 380 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25309": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 381 ],
+ "I1": [ 382 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 379 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25310": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 16
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 383 ],
+ "I1": [ 382 ],
+ "I2": [ 384 ],
+ "I3": [ "0" ],
+ "O": [ 385 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25311": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 64
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 59 ],
+ "I1": [ 60 ],
+ "I2": [ 61 ],
+ "I3": [ "0" ],
+ "O": [ 384 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25312": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 143
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 382 ],
+ "I1": [ 386 ],
+ "I2": [ 387 ],
+ "I3": [ "0" ],
+ "O": [ 388 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25313": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 16 ],
+ "I1": [ 385 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 387 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25314": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 16639
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 389 ],
+ "I1": [ 382 ],
+ "I2": [ 386 ],
+ "I3": [ 387 ],
+ "O": [ 390 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25315": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 16
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 391 ],
+ "I1": [ 392 ],
+ "I2": [ 393 ],
+ "I3": [ "0" ],
+ "O": [ 389 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25316": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 33023
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 386 ],
+ "I1": [ 394 ],
+ "I2": [ 382 ],
+ "I3": [ 387 ],
+ "O": [ 395 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25317": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 11
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 396 ],
+ "I1": [ 387 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 397 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25318": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 32768
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 389 ],
+ "I1": [ 394 ],
+ "I2": [ 382 ],
+ "I3": [ 386 ],
+ "O": [ 396 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25319": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 14
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 16 ],
+ "I1": [ 398 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 399 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25320": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 32768
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 400 ],
+ "I1": [ 401 ],
+ "I2": [ 402 ],
+ "I3": [ 403 ],
+ "O": [ 398 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25321": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4096
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 404 ],
+ "I1": [ 405 ],
+ "I2": [ 406 ],
+ "I3": [ 407 ],
+ "O": [ 400 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25322": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 11
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 16 ],
+ "I1": [ 408 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 409 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25323": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 410 ],
+ "I1": [ 402 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 408 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25324": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 65408
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 403 ],
+ "I1": [ 411 ],
+ "I2": [ 402 ],
+ "I3": [ 16 ],
+ "O": [ 412 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25325": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 400 ],
+ "I1": [ 413 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 411 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25326": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 406 ],
+ "I1": [ 404 ],
+ "I2": [ 405 ],
+ "I3": [ 407 ],
+ "O": [ 413 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25327": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 65291
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 406 ],
+ "I1": [ 402 ],
+ "I2": [ 414 ],
+ "I3": [ 16 ],
+ "O": [ 415 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25328": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4027
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 401 ],
+ "I1": [ 416 ],
+ "I2": [ 403 ],
+ "I3": [ 402 ],
+ "O": [ 414 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25329": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 11
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 16 ],
+ "I1": [ 414 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 417 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25330": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 65534
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 418 ],
+ "I1": [ 419 ],
+ "I2": [ 420 ],
+ "I3": [ 421 ],
+ "O": [ 422 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25331": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 423 ],
+ "I1": [ 424 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 418 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25332": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 128
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 425 ],
+ "I1": [ 60 ],
+ "I2": [ 426 ],
+ "I3": [ "0" ],
+ "O": [ 423 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25333": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 61 ],
+ "I1": [ 59 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 425 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25334": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 64
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 427 ],
+ "I1": [ 428 ],
+ "I2": [ 429 ],
+ "I3": [ "0" ],
+ "O": [ 424 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25335": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 430 ],
+ "I1": [ 424 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 419 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25336": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 431 ],
+ "I1": [ 426 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 430 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25337": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 16
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 59 ],
+ "I1": [ 61 ],
+ "I2": [ 60 ],
+ "I3": [ "0" ],
+ "O": [ 431 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25338": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 432 ],
+ "I1": [ 433 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 420 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25339": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 16384
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 434 ],
+ "I1": [ 435 ],
+ "I2": [ 436 ],
+ "I3": [ 437 ],
+ "O": [ 432 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25340": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 105
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 438 ],
+ "I1": [ 439 ],
+ "I2": [ 440 ],
+ "I3": [ "0" ],
+ "O": [ 434 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25341": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 45067
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 441 ],
+ "I1": [ 442 ],
+ "I2": [ 443 ],
+ "I3": [ 444 ],
+ "O": [ 435 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25342": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 445 ],
+ "I1": [ 446 ],
+ "I2": [ 447 ],
+ "I3": [ "0" ],
+ "O": [ 436 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25343": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 11
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 442 ],
+ "I1": [ 441 ],
+ "I2": [ 448 ],
+ "I3": [ 449 ],
+ "O": [ 437 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25344": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 128
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 384 ],
+ "I1": [ 424 ],
+ "I2": [ 383 ],
+ "I3": [ "0" ],
+ "O": [ 433 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25345": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 56 ],
+ "I1": [ 426 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 421 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25346": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 11
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 422 ],
+ "I1": [ 450 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 451 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25347": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 7
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 383 ],
+ "I1": [ 384 ],
+ "I2": [ 423 ],
+ "I3": [ 430 ],
+ "O": [ 450 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25348": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 244
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 450 ],
+ "I1": [ 428 ],
+ "I2": [ 422 ],
+ "I3": [ "0" ],
+ "O": [ 452 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25349": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 11
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 421 ],
+ "I1": [ 453 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 454 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25350": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 13
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 455 ],
+ "I1": [ 80 ],
+ "I2": [ 433 ],
+ "I3": [ "0" ],
+ "O": [ 453 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25351": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 59 ],
+ "I1": [ 81 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 455 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25352": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 202
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 441 ],
+ "I1": [ 421 ],
+ "I2": [ 453 ],
+ "I3": [ "0" ],
+ "O": [ 456 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25353": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 244
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 80 ],
+ "I1": [ 457 ],
+ "I2": [ 423 ],
+ "I3": [ "0" ],
+ "O": [ 458 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25354": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 81 ],
+ "I1": [ 59 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 457 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25355": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 239
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 16 ],
+ "I1": [ 56 ],
+ "I2": [ 459 ],
+ "I3": [ "0" ],
+ "O": [ 460 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25356": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 32768
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 461 ],
+ "I1": [ 462 ],
+ "I2": [ 463 ],
+ "I3": [ 464 ],
+ "O": [ 459 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25357": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 128
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 465 ],
+ "I1": [ 466 ],
+ "I2": [ 467 ],
+ "I3": [ "0" ],
+ "O": [ 461 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25358": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 32768
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 468 ],
+ "I1": [ 469 ],
+ "I2": [ 470 ],
+ "I3": [ 471 ],
+ "O": [ 465 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25359": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 256
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 472 ],
+ "I1": [ 473 ],
+ "I2": [ 474 ],
+ "I3": [ 475 ],
+ "O": [ 462 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25360": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 476 ],
+ "I1": [ 477 ],
+ "I2": [ 478 ],
+ "I3": [ 479 ],
+ "O": [ 475 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25361": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 480 ],
+ "I1": [ 481 ],
+ "I2": [ 482 ],
+ "I3": [ 483 ],
+ "O": [ 463 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25362": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 484 ],
+ "I1": [ 485 ],
+ "I2": [ 486 ],
+ "I3": [ 487 ],
+ "O": [ 464 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25363": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 65521
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 484 ],
+ "I1": [ 459 ],
+ "I2": [ 56 ],
+ "I3": [ 16 ],
+ "O": [ 488 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25364": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 16639
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 489 ],
+ "I1": [ 490 ],
+ "I2": [ 491 ],
+ "I3": [ 492 ],
+ "O": [ 493 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25365": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 16 ],
+ "I1": [ 494 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 492 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25366": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 16639
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 495 ],
+ "I1": [ 489 ],
+ "I2": [ 491 ],
+ "I3": [ 492 ],
+ "O": [ 496 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25367": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 65534
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 16 ],
+ "I1": [ 497 ],
+ "I2": [ 494 ],
+ "I3": [ 498 ],
+ "O": [ 499 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25368": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 32768
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 500 ],
+ "I1": [ 501 ],
+ "I2": [ 489 ],
+ "I3": [ 491 ],
+ "O": [ 497 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25369": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 33023
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 491 ],
+ "I1": [ 489 ],
+ "I2": [ 490 ],
+ "I3": [ 492 ],
+ "O": [ 502 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25370": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 143
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 490 ],
+ "I1": [ 503 ],
+ "I2": [ 492 ],
+ "I3": [ "0" ],
+ "O": [ 504 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25371": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 14
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 16 ],
+ "I1": [ 505 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 506 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25372": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 14
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 16 ],
+ "I1": [ 507 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 508 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25373": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 248
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 509 ],
+ "I1": [ 510 ],
+ "I2": [ 508 ],
+ "I3": [ "0" ],
+ "O": [ 511 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25374": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 176
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 512 ],
+ "I1": [ 513 ],
+ "I2": [ 514 ],
+ "I3": [ "0" ],
+ "O": [ 509 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25375": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 515 ],
+ "I1": [ 516 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 513 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25376": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 16
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 139 ],
+ "I1": [ 140 ],
+ "I2": [ 138 ],
+ "I3": [ "0" ],
+ "O": [ 510 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25377": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 11
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 508 ],
+ "I1": [ 517 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 518 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25378": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 32767
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 509 ],
+ "I1": [ 510 ],
+ "I2": [ 519 ],
+ "I3": [ 520 ],
+ "O": [ 517 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25379": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 256
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 521 ],
+ "I1": [ 522 ],
+ "I2": [ 523 ],
+ "I3": [ 524 ],
+ "O": [ 519 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25380": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 525 ],
+ "I1": [ 526 ],
+ "I2": [ 527 ],
+ "I3": [ 528 ],
+ "O": [ 520 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25381": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 248
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 509 ],
+ "I1": [ 529 ],
+ "I2": [ 508 ],
+ "I3": [ "0" ],
+ "O": [ 530 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25382": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 16
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 138 ],
+ "I1": [ 140 ],
+ "I2": [ 139 ],
+ "I3": [ "0" ],
+ "O": [ 529 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25383": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 11
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 508 ],
+ "I1": [ 531 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 532 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25384": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 32767
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 509 ],
+ "I1": [ 529 ],
+ "I2": [ 533 ],
+ "I3": [ 534 ],
+ "O": [ 531 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25385": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 256
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 535 ],
+ "I1": [ 536 ],
+ "I2": [ 537 ],
+ "I3": [ 538 ],
+ "O": [ 533 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25386": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 539 ],
+ "I1": [ 540 ],
+ "I2": [ 541 ],
+ "I3": [ 542 ],
+ "O": [ 534 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25387": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 14
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 543 ],
+ "I1": [ 16 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 544 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25388": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 509 ],
+ "I1": [ 545 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 543 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25389": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 64
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 140 ],
+ "I1": [ 139 ],
+ "I2": [ 138 ],
+ "I3": [ "0" ],
+ "O": [ 545 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25390": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 65408
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 546 ],
+ "I1": [ 543 ],
+ "I2": [ 547 ],
+ "I3": [ 16 ],
+ "O": [ 548 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25391": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 256
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 549 ],
+ "I1": [ 550 ],
+ "I2": [ 551 ],
+ "I3": [ 552 ],
+ "O": [ 547 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25392": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 553 ],
+ "I1": [ 554 ],
+ "I2": [ 555 ],
+ "I3": [ 556 ],
+ "O": [ 546 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25393": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 14
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 508 ],
+ "I1": [ 543 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 557 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25394": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 254
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 558 ],
+ "I1": [ 559 ],
+ "I2": [ 16 ],
+ "I3": [ "0" ],
+ "O": [ 560 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25395": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 65344
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 561 ],
+ "I1": [ 562 ],
+ "I2": [ 529 ],
+ "I3": [ 563 ],
+ "O": [ 558 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25396": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 564 ],
+ "I1": [ 545 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 563 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25397": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4096
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 138 ],
+ "I1": [ 139 ],
+ "I2": [ 509 ],
+ "I3": [ 140 ],
+ "O": [ 559 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25398": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 239
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 558 ],
+ "I1": [ 16 ],
+ "I2": [ 565 ],
+ "I3": [ "0" ],
+ "O": [ 566 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25399": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 7
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 559 ],
+ "I1": [ 567 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 565 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25400": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 32768
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 568 ],
+ "I1": [ 569 ],
+ "I2": [ 570 ],
+ "I3": [ 571 ],
+ "O": [ 567 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25401": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 572 ],
+ "I1": [ 573 ],
+ "I2": [ 574 ],
+ "I3": [ 575 ],
+ "O": [ 568 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25402": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 576 ],
+ "I1": [ 577 ],
+ "I2": [ 578 ],
+ "I3": [ 579 ],
+ "O": [ 569 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25403": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 256
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 580 ],
+ "I1": [ 581 ],
+ "I2": [ 582 ],
+ "I3": [ 583 ],
+ "O": [ 570 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25404": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 584 ],
+ "I1": [ 585 ],
+ "I2": [ 586 ],
+ "I3": [ 587 ],
+ "O": [ 571 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25405": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 14
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 16 ],
+ "I1": [ 588 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 589 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25406": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 14
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 16 ],
+ "I1": [ 590 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 591 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25407": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 248
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 592 ],
+ "I1": [ 198 ],
+ "I2": [ 16 ],
+ "I3": [ "0" ],
+ "O": [ 593 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25408": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 16384
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 206 ],
+ "I1": [ 205 ],
+ "I2": [ 594 ],
+ "I3": [ 208 ],
+ "O": [ 592 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25409": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 64
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 202 ],
+ "I1": [ 207 ],
+ "I2": [ 203 ],
+ "I3": [ "0" ],
+ "O": [ 594 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25410": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 248
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 595 ],
+ "I1": [ 281 ],
+ "I2": [ 16 ],
+ "I3": [ "0" ],
+ "O": [ 596 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25411": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 597 ],
+ "I1": [ 198 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 595 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25412": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 16
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 205 ],
+ "I1": [ 206 ],
+ "I2": [ 594 ],
+ "I3": [ "0" ],
+ "O": [ 597 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25413": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 248
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 595 ],
+ "I1": [ 279 ],
+ "I2": [ 16 ],
+ "I3": [ "0" ],
+ "O": [ 598 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25414": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 248
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 595 ],
+ "I1": [ 293 ],
+ "I2": [ 16 ],
+ "I3": [ "0" ],
+ "O": [ 599 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25415": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 248
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 595 ],
+ "I1": [ 290 ],
+ "I2": [ 16 ],
+ "I3": [ "0" ],
+ "O": [ 600 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25416": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 7
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 73 ],
+ "I1": [ 167 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 601 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25417": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 31
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 167 ],
+ "I1": [ 164 ],
+ "I2": [ 73 ],
+ "I3": [ "0" ],
+ "O": [ 602 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25418": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 248
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 595 ],
+ "I1": [ 295 ],
+ "I2": [ 16 ],
+ "I3": [ "0" ],
+ "O": [ 603 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25419": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 248
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 595 ],
+ "I1": [ 284 ],
+ "I2": [ 16 ],
+ "I3": [ "0" ],
+ "O": [ 604 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25420": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 248
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 595 ],
+ "I1": [ 298 ],
+ "I2": [ 16 ],
+ "I3": [ "0" ],
+ "O": [ 605 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25421": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 248
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 595 ],
+ "I1": [ 606 ],
+ "I2": [ 16 ],
+ "I3": [ "0" ],
+ "O": [ 607 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25422": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 276 ],
+ "I1": [ 608 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 606 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25423": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4096
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 208 ],
+ "I1": [ 209 ],
+ "I2": [ 211 ],
+ "I3": [ 210 ],
+ "O": [ 608 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25424": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 248
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 595 ],
+ "I1": [ 609 ],
+ "I2": [ 16 ],
+ "I3": [ "0" ],
+ "O": [ 610 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25425": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 16384
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 276 ],
+ "I1": [ 300 ],
+ "I2": [ 208 ],
+ "I3": [ 209 ],
+ "O": [ 609 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25426": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 248
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 595 ],
+ "I1": [ 611 ],
+ "I2": [ 16 ],
+ "I3": [ "0" ],
+ "O": [ 612 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25427": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 276 ],
+ "I1": [ 613 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 611 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25428": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 16384
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 208 ],
+ "I1": [ 209 ],
+ "I2": [ 211 ],
+ "I3": [ 210 ],
+ "O": [ 613 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25429": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 248
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 595 ],
+ "I1": [ 614 ],
+ "I2": [ 16 ],
+ "I3": [ "0" ],
+ "O": [ 615 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25430": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 64
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 276 ],
+ "I1": [ 351 ],
+ "I2": [ 301 ],
+ "I3": [ "0" ],
+ "O": [ 614 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25431": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 65279
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 459 ],
+ "I1": [ 16 ],
+ "I2": [ 616 ],
+ "I3": [ 56 ],
+ "O": [ 617 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25432": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 24576
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 618 ],
+ "I1": [ 619 ],
+ "I2": [ 620 ],
+ "I3": [ 621 ],
+ "O": [ 616 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25433": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 622 ],
+ "I1": [ 623 ],
+ "I2": [ 624 ],
+ "I3": [ "0" ],
+ "O": [ 620 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25434": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 256
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 625 ],
+ "I1": [ 626 ],
+ "I2": [ 627 ],
+ "I3": [ 426 ],
+ "O": [ 621 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25435": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 14
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 508 ],
+ "I1": [ 141 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 628 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25436": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 65528
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 629 ],
+ "I1": [ 588 ],
+ "I2": [ 16 ],
+ "I3": [ 147 ],
+ "O": [ 630 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25437": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 65528
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 631 ],
+ "I1": [ 632 ],
+ "I2": [ 633 ],
+ "I3": [ 16 ],
+ "O": [ 634 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25438": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 32768
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 635 ],
+ "I1": [ 192 ],
+ "I2": [ 636 ],
+ "I3": [ 637 ],
+ "O": [ 632 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25439": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 638 ],
+ "I1": [ 590 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 635 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25440": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 62
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 639 ],
+ "I1": [ 640 ],
+ "I2": [ 641 ],
+ "I3": [ "0" ],
+ "O": [ 633 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25441": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 61950
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 193 ],
+ "I1": [ 194 ],
+ "I2": [ 16 ],
+ "I3": [ 195 ],
+ "O": [ 642 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25442": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 191
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 16 ],
+ "I1": [ 78 ],
+ "I2": [ 85 ],
+ "I3": [ "0" ],
+ "O": [ 643 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25443": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 644 ],
+ "I1": [ 645 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 646 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25444": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 65291
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 647 ],
+ "I1": [ 648 ],
+ "I2": [ 649 ],
+ "I3": [ 16 ],
+ "O": [ 645 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25445": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 650 ],
+ "I1": [ 107 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 649 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25446": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 651 ],
+ "I1": [ 652 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 650 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25447": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 653 ],
+ "I1": [ 654 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 651 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25448": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 655 ],
+ "I1": [ 656 ],
+ "I2": [ 657 ],
+ "I3": [ 658 ],
+ "O": [ 653 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25449": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 659 ],
+ "I1": [ 660 ],
+ "I2": [ 661 ],
+ "I3": [ 662 ],
+ "O": [ 654 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25450": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 32768
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 663 ],
+ "I1": [ 664 ],
+ "I2": [ 665 ],
+ "I3": [ 666 ],
+ "O": [ 647 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25451": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 667 ],
+ "I1": [ 668 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 663 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25452": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 36873
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 669 ],
+ "I1": [ 670 ],
+ "I2": [ 671 ],
+ "I3": [ 672 ],
+ "O": [ 667 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25453": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 36873
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 673 ],
+ "I1": [ 674 ],
+ "I2": [ 675 ],
+ "I3": [ 676 ],
+ "O": [ 668 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25454": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 677 ],
+ "I1": [ 678 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 664 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25455": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 36873
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 679 ],
+ "I1": [ 680 ],
+ "I2": [ 681 ],
+ "I3": [ 682 ],
+ "O": [ 677 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25456": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 36873
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 683 ],
+ "I1": [ 684 ],
+ "I2": [ 685 ],
+ "I3": [ 686 ],
+ "O": [ 678 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25457": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 16384
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 687 ],
+ "I1": [ 688 ],
+ "I2": [ 689 ],
+ "I3": [ 690 ],
+ "O": [ 665 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25458": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 6
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 691 ],
+ "I1": [ 692 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 687 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25459": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 45067
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 693 ],
+ "I1": [ 694 ],
+ "I2": [ 695 ],
+ "I3": [ 696 ],
+ "O": [ 688 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25460": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 36873
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 697 ],
+ "I1": [ 698 ],
+ "I2": [ 699 ],
+ "I3": [ 700 ],
+ "O": [ 689 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25461": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 45067
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 694 ],
+ "I1": [ 693 ],
+ "I2": [ 701 ],
+ "I3": [ 702 ],
+ "O": [ 690 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25462": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 36873
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 703 ],
+ "I1": [ 704 ],
+ "I2": [ 705 ],
+ "I3": [ 706 ],
+ "O": [ 666 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25463": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 647 ],
+ "I1": [ 16 ],
+ "I2": [ 703 ],
+ "I3": [ "0" ],
+ "O": [ 644 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25464": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 3003
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 652 ],
+ "I1": [ 651 ],
+ "I2": [ 707 ],
+ "I3": [ 708 ],
+ "O": [ 709 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25465": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 9
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 710 ],
+ "I1": [ 711 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 712 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25466": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 9
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 713 ],
+ "I1": [ 714 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 715 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25467": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 55040
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 716 ],
+ "I1": [ 717 ],
+ "I2": [ 718 ],
+ "I3": [ 719 ],
+ "O": [ 720 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25468": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 109 ],
+ "I1": [ 107 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 719 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25469": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 16770
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 721 ],
+ "I1": [ 722 ],
+ "I2": [ 723 ],
+ "I3": [ 724 ],
+ "O": [ 716 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25470": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 239
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 709 ],
+ "I1": [ 16 ],
+ "I2": [ 707 ],
+ "I3": [ "0" ],
+ "O": [ 725 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25471": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 64
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 650 ],
+ "I1": [ 726 ],
+ "I2": [ 107 ],
+ "I3": [ "0" ],
+ "O": [ 727 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25472": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 648 ],
+ "I1": [ 647 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 726 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25473": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 190
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 16 ],
+ "I1": [ 727 ],
+ "I2": [ 709 ],
+ "I3": [ "0" ],
+ "O": [ 728 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25474": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 47790
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 16 ],
+ "I1": [ 709 ],
+ "I2": [ 727 ],
+ "I3": [ 659 ],
+ "O": [ 729 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25475": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 14
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 727 ],
+ "I1": [ 16 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 730 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25476": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 248
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 727 ],
+ "I1": [ 731 ],
+ "I2": [ 16 ],
+ "I3": [ "0" ],
+ "O": [ 732 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25477": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 14
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 709 ],
+ "I1": [ 16 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 733 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25478": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 248
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 709 ],
+ "I1": [ 734 ],
+ "I2": [ 16 ],
+ "I3": [ "0" ],
+ "O": [ 735 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25479": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 61439
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 16 ],
+ "I1": [ 107 ],
+ "I2": [ 109 ],
+ "I3": [ 736 ],
+ "O": [ 737 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25480": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 16384
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 738 ],
+ "I1": [ 739 ],
+ "I2": [ 740 ],
+ "I3": [ 741 ],
+ "O": [ 736 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25481": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 742 ],
+ "I1": [ 743 ],
+ "I2": [ 744 ],
+ "I3": [ "0" ],
+ "O": [ 741 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25482": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 745 ],
+ "I1": [ 746 ],
+ "I2": [ 747 ],
+ "I3": [ 748 ],
+ "O": [ 739 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25483": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 749 ],
+ "I1": [ 737 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 750 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25484": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4096
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 16 ],
+ "I1": [ 107 ],
+ "I2": [ 109 ],
+ "I3": [ 738 ],
+ "O": [ 749 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25485": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 62207
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 751 ],
+ "I1": [ 752 ],
+ "I2": [ 16 ],
+ "I3": [ 719 ],
+ "O": [ 753 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25486": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 14
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 16 ],
+ "I1": [ 13 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 754 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25487": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 248
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 31 ],
+ "I1": [ 16 ],
+ "I2": [ 13 ],
+ "I3": [ "0" ],
+ "O": [ 755 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25488": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 7
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 263 ],
+ "I1": [ 266 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 756 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25489": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 16
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 757 ],
+ "I1": [ 758 ],
+ "I2": [ 759 ],
+ "I3": [ "0" ],
+ "O": [ 760 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25490": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 64
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 761 ],
+ "I1": [ 762 ],
+ "I2": [ 763 ],
+ "I3": [ "0" ],
+ "O": [ 759 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25491": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 32768
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 764 ],
+ "I1": [ 765 ],
+ "I2": [ 766 ],
+ "I3": [ 767 ],
+ "O": [ 768 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25492": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 769 ],
+ "I1": [ 770 ],
+ "I2": [ 771 ],
+ "I3": [ 772 ],
+ "O": [ 764 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25493": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 773 ],
+ "I1": [ 774 ],
+ "I2": [ 775 ],
+ "I3": [ 776 ],
+ "O": [ 765 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25494": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 32768
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 777 ],
+ "I1": [ 778 ],
+ "I2": [ 779 ],
+ "I3": [ 780 ],
+ "O": [ 766 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25495": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 781 ],
+ "I1": [ 782 ],
+ "I2": [ 783 ],
+ "I3": [ 784 ],
+ "O": [ 767 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25496": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 64
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 203 ],
+ "I1": [ 202 ],
+ "I2": [ 204 ],
+ "I3": [ "0" ],
+ "O": [ 785 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25497": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 786 ],
+ "I1": [ 787 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 788 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25498": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 64
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 60 ],
+ "I1": [ 425 ],
+ "I2": [ 426 ],
+ "I3": [ "0" ],
+ "O": [ 789 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25499": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 172
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 790 ],
+ "I1": [ 791 ],
+ "I2": [ 792 ],
+ "I3": [ "0" ],
+ "O": [ 4 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25500": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 163
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 793 ],
+ "I1": [ 794 ],
+ "I2": [ 792 ],
+ "I3": [ "0" ],
+ "O": [ 3 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25501": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 202
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 795 ],
+ "I1": [ 796 ],
+ "I2": [ 792 ],
+ "I3": [ "0" ],
+ "O": [ 5 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25502": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 16639
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 109 ],
+ "I1": [ 175 ],
+ "I2": [ 726 ],
+ "I3": [ 797 ],
+ "O": [ 798 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25503": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 248
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 736 ],
+ "I1": [ 109 ],
+ "I2": [ 107 ],
+ "I3": [ 799 ],
+ "O": [ 797 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25504": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 6
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 800 ],
+ "I1": [ 801 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 802 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25505": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 144
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 803 ],
+ "I1": [ 804 ],
+ "I2": [ 805 ],
+ "I3": [ "0" ],
+ "O": [ 806 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25506": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 36873
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 807 ],
+ "I1": [ 714 ],
+ "I2": [ 808 ],
+ "I3": [ 809 ],
+ "O": [ 805 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25507": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 64
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 810 ],
+ "I1": [ 107 ],
+ "I2": [ 109 ],
+ "I3": [ "0" ],
+ "O": [ 811 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25508": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 52988
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 736 ],
+ "I1": [ 799 ],
+ "I2": [ 107 ],
+ "I3": [ 109 ],
+ "O": [ 812 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25509": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 56323
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 192 ],
+ "I1": [ 641 ],
+ "I2": [ 639 ],
+ "I3": [ 640 ],
+ "O": [ 813 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25510": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8204
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 192 ],
+ "I1": [ 641 ],
+ "I2": [ 640 ],
+ "I3": [ 639 ],
+ "O": [ 814 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25511": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 254
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 815 ],
+ "I1": [ 816 ],
+ "I2": [ 817 ],
+ "I3": [ "0" ],
+ "O": [ 818 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25512": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 176
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 819 ],
+ "I1": [ 588 ],
+ "I2": [ 820 ],
+ "I3": [ "0" ],
+ "O": [ 815 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25513": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 142 ],
+ "I1": [ 143 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 820 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25514": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 62464
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 821 ],
+ "I1": [ 588 ],
+ "I2": [ 822 ],
+ "I3": [ 144 ],
+ "O": [ 816 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25515": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 202
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 143 ],
+ "I1": [ 819 ],
+ "I2": [ 588 ],
+ "I3": [ "0" ],
+ "O": [ 822 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25516": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 224
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 821 ],
+ "I1": [ 822 ],
+ "I2": [ 823 ],
+ "I3": [ "0" ],
+ "O": [ 817 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25517": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 16
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 146 ],
+ "I1": [ 145 ],
+ "I2": [ 143 ],
+ "I3": [ "0" ],
+ "O": [ 823 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25518": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 202
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 146 ],
+ "I1": [ 819 ],
+ "I2": [ 588 ],
+ "I3": [ "0" ],
+ "O": [ 824 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25519": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 17648
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 819 ],
+ "I1": [ 820 ],
+ "I2": [ 825 ],
+ "I3": [ 588 ],
+ "O": [ 826 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25520": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 16
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 143 ],
+ "I1": [ 146 ],
+ "I2": [ 145 ],
+ "I3": [ "0" ],
+ "O": [ 825 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25521": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 45888
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 513 ],
+ "I1": [ 588 ],
+ "I2": [ 827 ],
+ "I3": [ 512 ],
+ "O": [ 828 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25522": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 30080
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 588 ],
+ "I1": [ 515 ],
+ "I2": [ 829 ],
+ "I3": [ 516 ],
+ "O": [ 830 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25523": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 224
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 516 ],
+ "I1": [ 512 ],
+ "I2": [ 827 ],
+ "I3": [ "0" ],
+ "O": [ 829 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25524": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 36863
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 564 ],
+ "I1": [ 545 ],
+ "I2": [ 831 ],
+ "I3": [ 832 ],
+ "O": [ 833 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25525": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 7
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 834 ],
+ "I1": [ 510 ],
+ "I2": [ 835 ],
+ "I3": [ 836 ],
+ "O": [ 832 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25526": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 16
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 562 ],
+ "I1": [ 561 ],
+ "I2": [ 529 ],
+ "I3": [ "0" ],
+ "O": [ 836 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25527": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 256
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 138 ],
+ "I1": [ 139 ],
+ "I2": [ 837 ],
+ "I3": [ 140 ],
+ "O": [ 835 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25528": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 12284
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 514 ],
+ "I1": [ 139 ],
+ "I2": [ 140 ],
+ "I3": [ 138 ],
+ "O": [ 831 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25529": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4096
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 138 ],
+ "I1": [ 514 ],
+ "I2": [ 140 ],
+ "I3": [ 139 ],
+ "O": [ 838 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25530": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 62719
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 561 ],
+ "I1": [ 529 ],
+ "I2": [ 563 ],
+ "I3": [ 839 ],
+ "O": [ 840 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25531": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 13
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 140 ],
+ "I1": [ 139 ],
+ "I2": [ 838 ],
+ "I3": [ "0" ],
+ "O": [ 839 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25532": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 65287
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 841 ],
+ "I1": [ 842 ],
+ "I2": [ 843 ],
+ "I3": [ 844 ],
+ "O": [ 845 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25533": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 53260
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 841 ],
+ "I1": [ 846 ],
+ "I2": [ 847 ],
+ "I3": [ 848 ],
+ "O": [ 844 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25534": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 16
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 849 ],
+ "I1": [ 842 ],
+ "I2": [ 841 ],
+ "I3": [ "0" ],
+ "O": [ 846 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25535": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 850 ],
+ "I1": [ 851 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 848 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25536": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 51967
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 841 ],
+ "I1": [ 850 ],
+ "I2": [ 851 ],
+ "I3": [ 847 ],
+ "O": [ 843 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25537": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 14
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 852 ],
+ "I1": [ 853 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 854 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25538": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 65392
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 841 ],
+ "I1": [ 849 ],
+ "I2": [ 855 ],
+ "I3": [ 856 ],
+ "O": [ 852 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25539": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 847 ],
+ "I1": [ 848 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 855 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25540": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 64
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 843 ],
+ "I1": [ 842 ],
+ "I2": [ 841 ],
+ "I3": [ "0" ],
+ "O": [ 856 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25541": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 30080
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 841 ],
+ "I1": [ 847 ],
+ "I2": [ 857 ],
+ "I3": [ 850 ],
+ "O": [ 853 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25542": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 244
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 849 ],
+ "I1": [ 855 ],
+ "I2": [ 858 ],
+ "I3": [ "0" ],
+ "O": [ 859 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25543": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 47264
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 857 ],
+ "I1": [ 850 ],
+ "I2": [ 851 ],
+ "I3": [ 847 ],
+ "O": [ 858 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25544": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 32592
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 841 ],
+ "I1": [ 847 ],
+ "I2": [ 851 ],
+ "I3": [ 846 ],
+ "O": [ 857 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25545": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 45888
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 860 ],
+ "I1": [ 588 ],
+ "I2": [ 861 ],
+ "I3": [ 862 ],
+ "O": [ 863 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25546": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 864 ],
+ "I1": [ 865 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 860 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25547": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 30080
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 588 ],
+ "I1": [ 862 ],
+ "I2": [ 866 ],
+ "I3": [ 864 ],
+ "O": [ 867 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25548": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 860 ],
+ "I1": [ 861 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 866 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25549": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 13
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 588 ],
+ "I1": [ 866 ],
+ "I2": [ 868 ],
+ "I3": [ "0" ],
+ "O": [ 869 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25550": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 127
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 588 ],
+ "I1": [ 862 ],
+ "I2": [ 864 ],
+ "I3": [ 865 ],
+ "O": [ 868 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25551": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 870 ],
+ "I1": [ 871 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 872 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25552": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 2868
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 873 ],
+ "I1": [ 133 ],
+ "I2": [ 132 ],
+ "I3": [ 134 ],
+ "O": [ 870 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25553": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 874 ],
+ "I1": [ 873 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 875 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25554": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 948
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 871 ],
+ "I1": [ 134 ],
+ "I2": [ 133 ],
+ "I3": [ 132 ],
+ "O": [ 874 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25555": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 128
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 876 ],
+ "I1": [ 871 ],
+ "I2": [ 873 ],
+ "I3": [ "0" ],
+ "O": [ 877 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25556": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 241
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 133 ],
+ "I1": [ 134 ],
+ "I2": [ 132 ],
+ "I3": [ "0" ],
+ "O": [ 876 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25557": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 16
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 878 ],
+ "I1": [ 193 ],
+ "I2": [ 879 ],
+ "I3": [ "0" ],
+ "O": [ 880 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25558": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 195 ],
+ "I1": [ 194 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 879 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25559": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 20
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 639 ],
+ "I1": [ 640 ],
+ "I2": [ 641 ],
+ "I3": [ "0" ],
+ "O": [ 878 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25560": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 14
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 879 ],
+ "I1": [ 878 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 881 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25561": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 64272
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 639 ],
+ "I1": [ 640 ],
+ "I2": [ 641 ],
+ "I3": [ 882 ],
+ "O": [ 883 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25562": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 879 ],
+ "I1": [ 193 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 882 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25563": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 60350
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 507 ],
+ "I1": [ 884 ],
+ "I2": [ 885 ],
+ "I3": [ 886 ],
+ "O": [ 887 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25564": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 14
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 507 ],
+ "I1": [ 888 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 889 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25565": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 14
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 507 ],
+ "I1": [ 890 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 891 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25566": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 14
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 507 ],
+ "I1": [ 892 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 893 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25567": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 14
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 507 ],
+ "I1": [ 894 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 895 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25568": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 14
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 507 ],
+ "I1": [ 896 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 897 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25569": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 14
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 507 ],
+ "I1": [ 898 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 899 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25570": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 14
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 507 ],
+ "I1": [ 900 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 901 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25571": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 14
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 507 ],
+ "I1": [ 902 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 903 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25572": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 14
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 507 ],
+ "I1": [ 904 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 905 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25573": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 14
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 507 ],
+ "I1": [ 906 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 907 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25574": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 14
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 507 ],
+ "I1": [ 908 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 909 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25575": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 60350
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 507 ],
+ "I1": [ 884 ],
+ "I2": [ 885 ],
+ "I3": [ 910 ],
+ "O": [ 911 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25576": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 14
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 507 ],
+ "I1": [ 912 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 913 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25577": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 190
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 507 ],
+ "I1": [ 884 ],
+ "I2": [ 885 ],
+ "I3": [ "0" ],
+ "O": [ 914 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25578": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 60350
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 494 ],
+ "I1": [ 784 ],
+ "I2": [ 915 ],
+ "I3": [ 780 ],
+ "O": [ 916 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25579": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 14
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 494 ],
+ "I1": [ 782 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 917 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25580": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 14
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 494 ],
+ "I1": [ 781 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 918 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25581": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 14
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 494 ],
+ "I1": [ 772 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 919 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25582": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 14
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 494 ],
+ "I1": [ 771 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 920 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25583": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 14
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 494 ],
+ "I1": [ 770 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 921 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25584": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 14
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 494 ],
+ "I1": [ 769 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 922 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25585": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 14
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 494 ],
+ "I1": [ 776 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 923 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25586": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 14
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 494 ],
+ "I1": [ 775 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 924 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25587": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 14
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 494 ],
+ "I1": [ 774 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 925 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25588": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 14
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 494 ],
+ "I1": [ 777 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 926 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25589": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 14
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 494 ],
+ "I1": [ 778 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 927 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25590": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 60350
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 494 ],
+ "I1": [ 773 ],
+ "I2": [ 915 ],
+ "I3": [ 780 ],
+ "O": [ 928 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25591": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 14
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 494 ],
+ "I1": [ 779 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 929 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25592": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 190
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 494 ],
+ "I1": [ 915 ],
+ "I2": [ 780 ],
+ "I3": [ "0" ],
+ "O": [ 930 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25593": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 14
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 494 ],
+ "I1": [ 762 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 931 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25594": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 60350
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 494 ],
+ "I1": [ 758 ],
+ "I2": [ 761 ],
+ "I3": [ 915 ],
+ "O": [ 932 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25595": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 14
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 494 ],
+ "I1": [ 757 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 933 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25596": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 190
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 494 ],
+ "I1": [ 761 ],
+ "I2": [ 915 ],
+ "I3": [ "0" ],
+ "O": [ 934 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25597": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 61678
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 935 ],
+ "I1": [ 364 ],
+ "I2": [ 936 ],
+ "I3": [ 372 ],
+ "O": [ 937 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25598": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 61678
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 938 ],
+ "I1": [ 364 ],
+ "I2": [ 939 ],
+ "I3": [ 372 ],
+ "O": [ 940 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25599": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 61508
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 364 ],
+ "I1": [ 941 ],
+ "I2": [ 942 ],
+ "I3": [ 372 ],
+ "O": [ 943 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25600": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 61678
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 944 ],
+ "I1": [ 364 ],
+ "I2": [ 945 ],
+ "I3": [ 372 ],
+ "O": [ 946 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25601": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 61508
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 364 ],
+ "I1": [ 947 ],
+ "I2": [ 948 ],
+ "I3": [ 372 ],
+ "O": [ 949 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25602": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 61508
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 364 ],
+ "I1": [ 950 ],
+ "I2": [ 951 ],
+ "I3": [ 372 ],
+ "O": [ 952 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25603": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 61508
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 364 ],
+ "I1": [ 953 ],
+ "I2": [ 954 ],
+ "I3": [ 372 ],
+ "O": [ 955 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25604": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 61508
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 364 ],
+ "I1": [ 956 ],
+ "I2": [ 957 ],
+ "I3": [ 372 ],
+ "O": [ 958 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25605": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 32512
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 959 ],
+ "I1": [ 960 ],
+ "I2": [ 961 ],
+ "I3": [ 274 ],
+ "O": [ 962 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25606": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1792
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 963 ],
+ "I1": [ 609 ],
+ "I2": [ 964 ],
+ "I3": [ 965 ],
+ "O": [ 959 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25607": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1911
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 966 ],
+ "I1": [ 279 ],
+ "I2": [ 352 ],
+ "I3": [ 967 ],
+ "O": [ 965 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25608": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 968 ],
+ "I1": [ 969 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 964 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25609": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4096
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 276 ],
+ "I1": [ 208 ],
+ "I2": [ 300 ],
+ "I3": [ 209 ],
+ "O": [ 968 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25610": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 112
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 611 ],
+ "I1": [ 302 ],
+ "I2": [ 970 ],
+ "I3": [ "0" ],
+ "O": [ 960 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25611": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 112
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 971 ],
+ "I1": [ 290 ],
+ "I2": [ 972 ],
+ "I3": [ "0" ],
+ "O": [ 970 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25612": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1911
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 350 ],
+ "I1": [ 973 ],
+ "I2": [ 284 ],
+ "I3": [ 974 ],
+ "O": [ 972 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25613": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 32768
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 975 ],
+ "I1": [ 976 ],
+ "I2": [ 977 ],
+ "I3": [ 978 ],
+ "O": [ 961 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25614": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1911
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 979 ],
+ "I1": [ 293 ],
+ "I2": [ 281 ],
+ "I3": [ 980 ],
+ "O": [ 975 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25615": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1911
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 295 ],
+ "I1": [ 355 ],
+ "I2": [ 287 ],
+ "I3": [ 981 ],
+ "O": [ 976 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25616": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1911
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 614 ],
+ "I1": [ 982 ],
+ "I2": [ 606 ],
+ "I3": [ 983 ],
+ "O": [ 977 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25617": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1911
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 277 ],
+ "I1": [ 984 ],
+ "I2": [ 272 ],
+ "I3": [ 985 ],
+ "O": [ 978 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25618": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 32512
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 986 ],
+ "I1": [ 987 ],
+ "I2": [ 988 ],
+ "I3": [ 274 ],
+ "O": [ 989 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25619": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 28672
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 990 ],
+ "I1": [ 284 ],
+ "I2": [ 991 ],
+ "I3": [ 992 ],
+ "O": [ 986 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25620": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1792
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 993 ],
+ "I1": [ 281 ],
+ "I2": [ 994 ],
+ "I3": [ 995 ],
+ "O": [ 991 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25621": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 968 ],
+ "I1": [ 996 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 994 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25622": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1911
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 997 ],
+ "I1": [ 609 ],
+ "I2": [ 606 ],
+ "I3": [ 998 ],
+ "O": [ 995 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25623": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1911
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 614 ],
+ "I1": [ 999 ],
+ "I2": [ 290 ],
+ "I3": [ 1000 ],
+ "O": [ 992 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25624": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 112
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1001 ],
+ "I1": [ 287 ],
+ "I2": [ 1002 ],
+ "I3": [ "0" ],
+ "O": [ 987 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25625": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1911
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1003 ],
+ "I1": [ 293 ],
+ "I2": [ 272 ],
+ "I3": [ 1004 ],
+ "O": [ 1002 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25626": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1911
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 279 ],
+ "I1": [ 1005 ],
+ "I2": [ 277 ],
+ "I3": [ 1006 ],
+ "O": [ 988 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25627": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 32512
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1007 ],
+ "I1": [ 1008 ],
+ "I2": [ 1009 ],
+ "I3": [ 274 ],
+ "O": [ 1010 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25628": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 28672
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1011 ],
+ "I1": [ 609 ],
+ "I2": [ 1012 ],
+ "I3": [ 1013 ],
+ "O": [ 1007 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25629": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 112
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1014 ],
+ "I1": [ 614 ],
+ "I2": [ 1015 ],
+ "I3": [ "0" ],
+ "O": [ 1012 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25630": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1911
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 968 ],
+ "I1": [ 1016 ],
+ "I2": [ 279 ],
+ "I3": [ 1017 ],
+ "O": [ 1015 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25631": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1911
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1018 ],
+ "I1": [ 284 ],
+ "I2": [ 281 ],
+ "I3": [ 1019 ],
+ "O": [ 1013 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25632": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1792
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1020 ],
+ "I1": [ 287 ],
+ "I2": [ 1021 ],
+ "I3": [ 1022 ],
+ "O": [ 1008 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25633": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 277 ],
+ "I1": [ 1023 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1021 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25634": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1911
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 606 ],
+ "I1": [ 1024 ],
+ "I2": [ 290 ],
+ "I3": [ 1025 ],
+ "O": [ 1022 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25635": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1911
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1026 ],
+ "I1": [ 293 ],
+ "I2": [ 272 ],
+ "I3": [ 1027 ],
+ "O": [ 1009 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25636": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 32512
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1028 ],
+ "I1": [ 1029 ],
+ "I2": [ 1030 ],
+ "I3": [ 274 ],
+ "O": [ 1031 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25637": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 28672
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1032 ],
+ "I1": [ 290 ],
+ "I2": [ 1033 ],
+ "I3": [ 1034 ],
+ "O": [ 1028 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25638": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1911
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1035 ],
+ "I1": [ 293 ],
+ "I2": [ 272 ],
+ "I3": [ 1036 ],
+ "O": [ 1033 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25639": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1911
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 606 ],
+ "I1": [ 1037 ],
+ "I2": [ 287 ],
+ "I3": [ 1038 ],
+ "O": [ 1034 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25640": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 112
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1039 ],
+ "I1": [ 609 ],
+ "I2": [ 1040 ],
+ "I3": [ "0" ],
+ "O": [ 1029 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25641": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1911
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1041 ],
+ "I1": [ 284 ],
+ "I2": [ 281 ],
+ "I3": [ 1042 ],
+ "O": [ 1040 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25642": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1792
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1043 ],
+ "I1": [ 614 ],
+ "I2": [ 1044 ],
+ "I3": [ 1045 ],
+ "O": [ 1030 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25643": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 277 ],
+ "I1": [ 1046 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1044 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25644": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1911
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 968 ],
+ "I1": [ 1047 ],
+ "I2": [ 279 ],
+ "I3": [ 1048 ],
+ "O": [ 1045 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25645": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 32512
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1049 ],
+ "I1": [ 1050 ],
+ "I2": [ 1051 ],
+ "I3": [ 274 ],
+ "O": [ 1052 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25646": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 28672
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1053 ],
+ "I1": [ 272 ],
+ "I2": [ 1054 ],
+ "I3": [ 1055 ],
+ "O": [ 1049 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25647": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 28672
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1056 ],
+ "I1": [ 968 ],
+ "I2": [ 1057 ],
+ "I3": [ 1058 ],
+ "O": [ 1054 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25648": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1911
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 614 ],
+ "I1": [ 1059 ],
+ "I2": [ 290 ],
+ "I3": [ 1060 ],
+ "O": [ 1057 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25649": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1911
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 606 ],
+ "I1": [ 1061 ],
+ "I2": [ 293 ],
+ "I3": [ 1062 ],
+ "O": [ 1058 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25650": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1911
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1063 ],
+ "I1": [ 287 ],
+ "I2": [ 277 ],
+ "I3": [ 1064 ],
+ "O": [ 1055 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25651": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1911
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 281 ],
+ "I1": [ 1065 ],
+ "I2": [ 279 ],
+ "I3": [ 1066 ],
+ "O": [ 1050 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25652": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1911
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 609 ],
+ "I1": [ 1067 ],
+ "I2": [ 284 ],
+ "I3": [ 1068 ],
+ "O": [ 1051 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25653": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 32512
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1069 ],
+ "I1": [ 1070 ],
+ "I2": [ 1071 ],
+ "I3": [ 274 ],
+ "O": [ 1072 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25654": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 28672
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1073 ],
+ "I1": [ 279 ],
+ "I2": [ 1074 ],
+ "I3": [ 1075 ],
+ "O": [ 1069 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25655": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 112
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1076 ],
+ "I1": [ 968 ],
+ "I2": [ 1077 ],
+ "I3": [ "0" ],
+ "O": [ 1074 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25656": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1911
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 606 ],
+ "I1": [ 1078 ],
+ "I2": [ 293 ],
+ "I3": [ 1079 ],
+ "O": [ 1077 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25657": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1911
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1080 ],
+ "I1": [ 287 ],
+ "I2": [ 277 ],
+ "I3": [ 1081 ],
+ "O": [ 1075 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25658": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1082 ],
+ "I1": [ 1083 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1070 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25659": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1911
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 609 ],
+ "I1": [ 1084 ],
+ "I2": [ 284 ],
+ "I3": [ 1085 ],
+ "O": [ 1082 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25660": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1911
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 614 ],
+ "I1": [ 1086 ],
+ "I2": [ 290 ],
+ "I3": [ 1087 ],
+ "O": [ 1083 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25661": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1911
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 281 ],
+ "I1": [ 1088 ],
+ "I2": [ 272 ],
+ "I3": [ 1089 ],
+ "O": [ 1071 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25662": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 112
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1090 ],
+ "I1": [ 1091 ],
+ "I2": [ 274 ],
+ "I3": [ "0" ],
+ "O": [ 1092 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25663": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 112
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1093 ],
+ "I1": [ 968 ],
+ "I2": [ 1094 ],
+ "I3": [ "0" ],
+ "O": [ 1091 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25664": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 112
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1095 ],
+ "I1": [ 609 ],
+ "I2": [ 1096 ],
+ "I3": [ "0" ],
+ "O": [ 1094 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25665": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1911
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 606 ],
+ "I1": [ 1097 ],
+ "I2": [ 290 ],
+ "I3": [ 1098 ],
+ "O": [ 1096 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25666": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 32768
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1099 ],
+ "I1": [ 1100 ],
+ "I2": [ 1101 ],
+ "I3": [ 1102 ],
+ "O": [ 1090 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25667": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1911
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1103 ],
+ "I1": [ 287 ],
+ "I2": [ 277 ],
+ "I3": [ 1104 ],
+ "O": [ 1099 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25668": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1911
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 293 ],
+ "I1": [ 1105 ],
+ "I2": [ 284 ],
+ "I3": [ 1106 ],
+ "O": [ 1100 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25669": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1911
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 281 ],
+ "I1": [ 1107 ],
+ "I2": [ 279 ],
+ "I3": [ 1108 ],
+ "O": [ 1101 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25670": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1911
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 614 ],
+ "I1": [ 1109 ],
+ "I2": [ 272 ],
+ "I3": [ 1110 ],
+ "O": [ 1102 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25671": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 32512
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1111 ],
+ "I1": [ 1112 ],
+ "I2": [ 1113 ],
+ "I3": [ 274 ],
+ "O": [ 1114 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25672": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 112
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1115 ],
+ "I1": [ 290 ],
+ "I2": [ 1116 ],
+ "I3": [ "0" ],
+ "O": [ 1111 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25673": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 112
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1117 ],
+ "I1": [ 606 ],
+ "I2": [ 1118 ],
+ "I3": [ "0" ],
+ "O": [ 1116 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25674": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1911
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 609 ],
+ "I1": [ 1119 ],
+ "I2": [ 281 ],
+ "I3": [ 1120 ],
+ "O": [ 1118 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25675": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 28672
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1121 ],
+ "I1": [ 293 ],
+ "I2": [ 1122 ],
+ "I3": [ 1123 ],
+ "O": [ 1112 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25676": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1911
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 279 ],
+ "I1": [ 1124 ],
+ "I2": [ 272 ],
+ "I3": [ 1125 ],
+ "O": [ 1122 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25677": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1911
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 614 ],
+ "I1": [ 1126 ],
+ "I2": [ 277 ],
+ "I3": [ 1127 ],
+ "O": [ 1123 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25678": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 112
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1128 ],
+ "I1": [ 287 ],
+ "I2": [ 1129 ],
+ "I3": [ "0" ],
+ "O": [ 1113 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25679": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1911
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 968 ],
+ "I1": [ 1130 ],
+ "I2": [ 284 ],
+ "I3": [ 1131 ],
+ "O": [ 1129 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25680": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 208
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1132 ],
+ "I1": [ 1133 ],
+ "I2": [ 360 ],
+ "I3": [ "0" ],
+ "O": [ 1134 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25681": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 32768
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 301 ],
+ "I1": [ 790 ],
+ "I2": [ 792 ],
+ "I3": [ 6 ],
+ "O": [ 1133 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25682": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 62453
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 796 ],
+ "I1": [ 792 ],
+ "I2": [ 208 ],
+ "I3": [ 209 ],
+ "O": [ 1132 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25683": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 128
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 360 ],
+ "I1": [ 283 ],
+ "I2": [ 790 ],
+ "I3": [ "0" ],
+ "O": [ 1135 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25684": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 128
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 360 ],
+ "I1": [ 283 ],
+ "I2": [ 793 ],
+ "I3": [ "0" ],
+ "O": [ 1136 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25685": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 128
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 360 ],
+ "I1": [ 283 ],
+ "I2": [ 1137 ],
+ "I3": [ "0" ],
+ "O": [ 1138 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25686": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 592 ],
+ "I1": [ 12 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1139 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25687": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 592 ],
+ "I1": [ 11 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1140 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25688": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 592 ],
+ "I1": [ 1141 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1142 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25689": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 592 ],
+ "I1": [ 1143 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1144 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25690": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 592 ],
+ "I1": [ 1145 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1146 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25691": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 592 ],
+ "I1": [ 1147 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1148 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25692": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 592 ],
+ "I1": [ 1149 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1150 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25693": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 592 ],
+ "I1": [ 1151 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1152 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25694": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 32512
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1153 ],
+ "I1": [ 1154 ],
+ "I2": [ 1155 ],
+ "I3": [ 1156 ],
+ "O": [ 1157 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25695": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1158 ],
+ "I1": [ 1159 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1153 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25696": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 241
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 298 ],
+ "I1": [ 287 ],
+ "I2": [ 1160 ],
+ "I3": [ "0" ],
+ "O": [ 1159 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25697": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 248
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 279 ],
+ "I1": [ 1160 ],
+ "I2": [ 1161 ],
+ "I3": [ "0" ],
+ "O": [ 1158 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25698": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 128
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 301 ],
+ "I1": [ 351 ],
+ "I2": [ 1162 ],
+ "I3": [ "0" ],
+ "O": [ 1161 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25699": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1160 ],
+ "I1": [ 276 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1162 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25700": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 45056
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1160 ],
+ "I1": [ 214 ],
+ "I2": [ 1163 ],
+ "I3": [ 1164 ],
+ "O": [ 1154 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25701": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 28672
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1160 ],
+ "I1": [ 281 ],
+ "I2": [ 1165 ],
+ "I3": [ 1166 ],
+ "O": [ 1163 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25702": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 65287
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 286 ],
+ "I1": [ 276 ],
+ "I2": [ 292 ],
+ "I3": [ 1160 ],
+ "O": [ 1166 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25703": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 11
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1167 ],
+ "I1": [ 1168 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1165 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25704": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 276 ],
+ "I1": [ 1160 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1168 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25705": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 59391
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 208 ],
+ "I1": [ 209 ],
+ "I2": [ 211 ],
+ "I3": [ 210 ],
+ "O": [ 1167 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25706": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 31
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 297 ],
+ "I1": [ 200 ],
+ "I2": [ 1168 ],
+ "I3": [ "0" ],
+ "O": [ 1164 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25707": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 32512
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 209 ],
+ "I1": [ 300 ],
+ "I2": [ 1162 ],
+ "I3": [ 1169 ],
+ "O": [ 1155 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25708": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 62453
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 613 ],
+ "I1": [ 608 ],
+ "I2": [ 1160 ],
+ "I3": [ 276 ],
+ "O": [ 1169 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25709": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 64
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 202 ],
+ "I1": [ 275 ],
+ "I2": [ 203 ],
+ "I3": [ "0" ],
+ "O": [ 1156 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25710": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 32512
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1170 ],
+ "I1": [ 1171 ],
+ "I2": [ 1172 ],
+ "I3": [ 1156 ],
+ "O": [ 1173 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25711": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 128
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1174 ],
+ "I1": [ 1175 ],
+ "I2": [ 1176 ],
+ "I3": [ "0" ],
+ "O": [ 1170 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25712": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 241
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 606 ],
+ "I1": [ 1177 ],
+ "I2": [ 1160 ],
+ "I3": [ 1178 ],
+ "O": [ 1174 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25713": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 79
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1160 ],
+ "I1": [ 279 ],
+ "I2": [ 1179 ],
+ "I3": [ "0" ],
+ "O": [ 1178 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25714": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 31
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 214 ],
+ "I1": [ 212 ],
+ "I2": [ 1168 ],
+ "I3": [ "0" ],
+ "O": [ 1179 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25715": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 64
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 208 ],
+ "I1": [ 300 ],
+ "I2": [ 209 ],
+ "I3": [ "0" ],
+ "O": [ 1177 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25716": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 241
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 298 ],
+ "I1": [ 295 ],
+ "I2": [ 1160 ],
+ "I3": [ "0" ],
+ "O": [ 1175 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25717": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 65287
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 214 ],
+ "I1": [ 276 ],
+ "I2": [ 350 ],
+ "I3": [ 1160 ],
+ "O": [ 1176 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25718": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 112
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1162 ],
+ "I1": [ 289 ],
+ "I2": [ 1180 ],
+ "I3": [ "0" ],
+ "O": [ 1171 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25719": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 65392
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 297 ],
+ "I1": [ 276 ],
+ "I2": [ 1181 ],
+ "I3": [ 1160 ],
+ "O": [ 1180 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25720": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 53
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 286 ],
+ "I1": [ 216 ],
+ "I2": [ 276 ],
+ "I3": [ "0" ],
+ "O": [ 1181 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25721": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 7
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1162 ],
+ "I1": [ 613 ],
+ "I2": [ 1158 ],
+ "I3": [ "0" ],
+ "O": [ 1172 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25722": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 32512
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1182 ],
+ "I1": [ 1171 ],
+ "I2": [ 1183 ],
+ "I3": [ 1156 ],
+ "O": [ 1184 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25723": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 32768
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1185 ],
+ "I1": [ 1186 ],
+ "I2": [ 1187 ],
+ "I3": [ 1169 ],
+ "O": [ 1182 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25724": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 112
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1162 ],
+ "I1": [ 354 ],
+ "I2": [ 1176 ],
+ "I3": [ "0" ],
+ "O": [ 1185 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25725": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 62453
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 212 ],
+ "I1": [ 1177 ],
+ "I2": [ 1160 ],
+ "I3": [ 276 ],
+ "O": [ 1186 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25726": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 7
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1160 ],
+ "I1": [ 281 ],
+ "I2": [ 1188 ],
+ "I3": [ "0" ],
+ "O": [ 1187 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25727": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1472
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1189 ],
+ "I1": [ 214 ],
+ "I2": [ 1160 ],
+ "I3": [ 276 ],
+ "O": [ 1188 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25728": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 32511
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 208 ],
+ "I1": [ 209 ],
+ "I2": [ 210 ],
+ "I3": [ 211 ],
+ "O": [ 1189 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25729": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1190 ],
+ "I1": [ 1175 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1183 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25730": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 254
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 281 ],
+ "I1": [ 1191 ],
+ "I2": [ 614 ],
+ "I3": [ 1160 ],
+ "O": [ 1190 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25731": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 64
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 276 ],
+ "I1": [ 300 ],
+ "I2": [ 209 ],
+ "I3": [ "0" ],
+ "O": [ 1191 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25732": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 32512
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1185 ],
+ "I1": [ 1183 ],
+ "I2": [ 1192 ],
+ "I3": [ 1156 ],
+ "O": [ 1193 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25733": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 2816
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1160 ],
+ "I1": [ 293 ],
+ "I2": [ 1178 ],
+ "I3": [ 1194 ],
+ "O": [ 1192 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25734": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 112
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1162 ],
+ "I1": [ 289 ],
+ "I2": [ 1166 ],
+ "I3": [ "0" ],
+ "O": [ 1194 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25735": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 32512
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1153 ],
+ "I1": [ 1195 ],
+ "I2": [ 1196 ],
+ "I3": [ 1156 ],
+ "O": [ 1197 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25736": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1190 ],
+ "I1": [ 1198 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1195 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25737": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1792
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1162 ],
+ "I1": [ 286 ],
+ "I2": [ 1199 ],
+ "I3": [ 1169 ],
+ "O": [ 1198 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25738": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 128
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 301 ],
+ "I1": [ 300 ],
+ "I2": [ 1162 ],
+ "I3": [ "0" ],
+ "O": [ 1199 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25739": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 241
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 350 ],
+ "I1": [ 272 ],
+ "I2": [ 1160 ],
+ "I3": [ 1188 ],
+ "O": [ 1196 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25740": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 32512
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1200 ],
+ "I1": [ 1175 ],
+ "I2": [ 1201 ],
+ "I3": [ 1156 ],
+ "O": [ 1202 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25741": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 32768
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1172 ],
+ "I1": [ 1203 ],
+ "I2": [ 1180 ],
+ "I3": [ 1187 ],
+ "O": [ 1200 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25742": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 128
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1155 ],
+ "I1": [ 1194 ],
+ "I2": [ 1204 ],
+ "I3": [ "0" ],
+ "O": [ 1203 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25743": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 7
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1162 ],
+ "I1": [ 214 ],
+ "I2": [ 1199 ],
+ "I3": [ "0" ],
+ "O": [ 1204 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25744": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1165 ],
+ "I1": [ 1205 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1201 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25745": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 62453
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 289 ],
+ "I1": [ 212 ],
+ "I2": [ 1160 ],
+ "I3": [ 276 ],
+ "O": [ 1205 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25746": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 112
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1206 ],
+ "I1": [ 1200 ],
+ "I2": [ 1156 ],
+ "I3": [ "0" ],
+ "O": [ 1207 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25747": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 28672
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1168 ],
+ "I1": [ 214 ],
+ "I2": [ 1208 ],
+ "I3": [ 1159 ],
+ "O": [ 1206 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25748": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 11
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1160 ],
+ "I1": [ 606 ],
+ "I2": [ 1190 ],
+ "I3": [ "0" ],
+ "O": [ 1208 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25749": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 32512
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1179 ],
+ "I1": [ 1208 ],
+ "I2": [ 1164 ],
+ "I3": [ 1156 ],
+ "O": [ 1209 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25750": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 36608
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 214 ],
+ "I1": [ 1210 ],
+ "I2": [ 1211 ],
+ "I3": [ 199 ],
+ "O": [ 1212 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25751": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 128
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1213 ],
+ "I1": [ 1214 ],
+ "I2": [ 1215 ],
+ "I3": [ "0" ],
+ "O": [ 1211 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25752": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 112
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 252 ],
+ "I1": [ 292 ],
+ "I2": [ 1216 ],
+ "I3": [ "0" ],
+ "O": [ 1213 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25753": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1911
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 219 ],
+ "I1": [ 297 ],
+ "I2": [ 286 ],
+ "I3": [ 233 ],
+ "O": [ 1216 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25754": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1911
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1217 ],
+ "I1": [ 212 ],
+ "I2": [ 200 ],
+ "I3": [ 1218 ],
+ "O": [ 1214 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25755": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1911
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 289 ],
+ "I1": [ 260 ],
+ "I2": [ 216 ],
+ "I3": [ 1219 ],
+ "O": [ 1215 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25756": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 32512
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1220 ],
+ "I1": [ 1221 ],
+ "I2": [ 1222 ],
+ "I3": [ 199 ],
+ "O": [ 1223 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25757": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 2816
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1224 ],
+ "I1": [ 212 ],
+ "I2": [ 1225 ],
+ "I3": [ 1226 ],
+ "O": [ 1221 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25758": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1911
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 251 ],
+ "I1": [ 297 ],
+ "I2": [ 292 ],
+ "I3": [ 253 ],
+ "O": [ 1226 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25759": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 289 ],
+ "I1": [ 261 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1225 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25760": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 3003
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1227 ],
+ "I1": [ 216 ],
+ "I2": [ 214 ],
+ "I3": [ 1228 ],
+ "O": [ 1222 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25761": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1911
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 286 ],
+ "I1": [ 234 ],
+ "I2": [ 200 ],
+ "I3": [ 1229 ],
+ "O": [ 1220 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25762": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 36608
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 200 ],
+ "I1": [ 1230 ],
+ "I2": [ 1231 ],
+ "I3": [ 199 ],
+ "O": [ 1232 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25763": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 45056
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1233 ],
+ "I1": [ 212 ],
+ "I2": [ 1234 ],
+ "I3": [ 1235 ],
+ "O": [ 1231 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25764": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1792
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 241 ],
+ "I1": [ 297 ],
+ "I2": [ 1236 ],
+ "I3": [ 1237 ],
+ "O": [ 1234 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25765": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 292 ],
+ "I1": [ 247 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1236 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25766": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 28791
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 289 ],
+ "I1": [ 254 ],
+ "I2": [ 1238 ],
+ "I3": [ 214 ],
+ "O": [ 1237 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25767": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1911
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 286 ],
+ "I1": [ 227 ],
+ "I2": [ 216 ],
+ "I3": [ 1239 ],
+ "O": [ 1235 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25768": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 20224
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1240 ],
+ "I1": [ 200 ],
+ "I2": [ 1241 ],
+ "I3": [ 199 ],
+ "O": [ 1242 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25769": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 28672
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1243 ],
+ "I1": [ 212 ],
+ "I2": [ 1244 ],
+ "I3": [ 1245 ],
+ "O": [ 1241 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25770": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1792
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 228 ],
+ "I1": [ 286 ],
+ "I2": [ 1246 ],
+ "I3": [ 1247 ],
+ "O": [ 1244 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25771": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 292 ],
+ "I1": [ 248 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1246 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25772": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1911
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 297 ],
+ "I1": [ 242 ],
+ "I2": [ 214 ],
+ "I3": [ 1248 ],
+ "O": [ 1247 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25773": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1911
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 289 ],
+ "I1": [ 255 ],
+ "I2": [ 216 ],
+ "I3": [ 1249 ],
+ "O": [ 1245 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25774": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 32512
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1250 ],
+ "I1": [ 1251 ],
+ "I2": [ 1252 ],
+ "I3": [ 199 ],
+ "O": [ 1253 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25775": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 2816
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1254 ],
+ "I1": [ 212 ],
+ "I2": [ 1255 ],
+ "I3": [ 1256 ],
+ "O": [ 1251 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25776": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 28791
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 297 ],
+ "I1": [ 235 ],
+ "I2": [ 1257 ],
+ "I3": [ 216 ],
+ "O": [ 1256 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25777": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 289 ],
+ "I1": [ 256 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1255 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25778": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 28791
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 292 ],
+ "I1": [ 249 ],
+ "I2": [ 1258 ],
+ "I3": [ 214 ],
+ "O": [ 1252 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25779": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 28791
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 286 ],
+ "I1": [ 229 ],
+ "I2": [ 1259 ],
+ "I3": [ 200 ],
+ "O": [ 1250 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25780": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 20224
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1260 ],
+ "I1": [ 200 ],
+ "I2": [ 1261 ],
+ "I3": [ 199 ],
+ "O": [ 1262 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25781": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 28672
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1263 ],
+ "I1": [ 212 ],
+ "I2": [ 1264 ],
+ "I3": [ 1265 ],
+ "O": [ 1261 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25782": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1792
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 250 ],
+ "I1": [ 292 ],
+ "I2": [ 1266 ],
+ "I3": [ 1267 ],
+ "O": [ 1264 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25783": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 286 ],
+ "I1": [ 230 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1266 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25784": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1911
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 297 ],
+ "I1": [ 236 ],
+ "I2": [ 216 ],
+ "I3": [ 1268 ],
+ "O": [ 1267 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25785": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 28791
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 289 ],
+ "I1": [ 257 ],
+ "I2": [ 1269 ],
+ "I3": [ 214 ],
+ "O": [ 1265 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25786": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 20224
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1270 ],
+ "I1": [ 212 ],
+ "I2": [ 1271 ],
+ "I3": [ 199 ],
+ "O": [ 1272 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25787": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 128
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1273 ],
+ "I1": [ 1274 ],
+ "I2": [ 1275 ],
+ "I3": [ "0" ],
+ "O": [ 1271 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25788": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 176
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1276 ],
+ "I1": [ 200 ],
+ "I2": [ 1277 ],
+ "I3": [ "0" ],
+ "O": [ 1273 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25789": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1911
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 289 ],
+ "I1": [ 231 ],
+ "I2": [ 216 ],
+ "I3": [ 1278 ],
+ "O": [ 1277 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25790": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1911
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 297 ],
+ "I1": [ 237 ],
+ "I2": [ 214 ],
+ "I3": [ 1279 ],
+ "O": [ 1274 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25791": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1911
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 258 ],
+ "I1": [ 292 ],
+ "I2": [ 286 ],
+ "I3": [ 239 ],
+ "O": [ 1275 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25792": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 36608
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 200 ],
+ "I1": [ 1280 ],
+ "I2": [ 1281 ],
+ "I3": [ 199 ],
+ "O": [ 1282 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25793": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 128
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1283 ],
+ "I1": [ 1284 ],
+ "I2": [ 1285 ],
+ "I3": [ "0" ],
+ "O": [ 1281 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25794": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 112
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1286 ],
+ "I1": [ 212 ],
+ "I2": [ 1287 ],
+ "I3": [ "0" ],
+ "O": [ 1283 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25795": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1911
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 292 ],
+ "I1": [ 259 ],
+ "I2": [ 216 ],
+ "I3": [ 1288 ],
+ "O": [ 1287 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25796": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1911
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 297 ],
+ "I1": [ 238 ],
+ "I2": [ 214 ],
+ "I3": [ 1289 ],
+ "O": [ 1284 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25797": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1911
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 232 ],
+ "I1": [ 289 ],
+ "I2": [ 286 ],
+ "I3": [ 240 ],
+ "O": [ 1285 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25798": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1911
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1290 ],
+ "I1": [ 298 ],
+ "I2": [ 295 ],
+ "I3": [ 1291 ],
+ "O": [ 1292 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25799": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 36864
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1293 ],
+ "I1": [ 1294 ],
+ "I2": [ 1295 ],
+ "I3": [ 1296 ],
+ "O": [ 1297 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25800": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 5160
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1298 ],
+ "I1": [ 1299 ],
+ "I2": [ 1300 ],
+ "I3": [ 1301 ],
+ "O": [ 1295 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25801": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 36873
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1302 ],
+ "I1": [ 1303 ],
+ "I2": [ 1304 ],
+ "I3": [ 1305 ],
+ "O": [ 1296 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25802": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 112
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1306 ],
+ "I1": [ 277 ],
+ "I2": [ 1307 ],
+ "I3": [ "0" ],
+ "O": [ 1308 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25803": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 28672
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1309 ],
+ "I1": [ 281 ],
+ "I2": [ 1310 ],
+ "I3": [ 1311 ],
+ "O": [ 1307 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25804": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1911
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 614 ],
+ "I1": [ 1312 ],
+ "I2": [ 611 ],
+ "I3": [ 1313 ],
+ "O": [ 1310 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25805": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1911
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 284 ],
+ "I1": [ 1314 ],
+ "I2": [ 279 ],
+ "I3": [ 1315 ],
+ "O": [ 1311 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25806": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1316 ],
+ "I1": [ 350 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1317 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25807": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 144
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1318 ],
+ "I1": [ 1319 ],
+ "I2": [ 1320 ],
+ "I3": [ "0" ],
+ "O": [ 1316 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25808": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 36873
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1321 ],
+ "I1": [ 1322 ],
+ "I2": [ 1323 ],
+ "I3": [ 1324 ],
+ "O": [ 1320 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25809": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 773
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1325 ],
+ "I1": [ 1326 ],
+ "I2": [ 1327 ],
+ "I3": [ 1328 ],
+ "O": [ 1329 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25810": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 21248
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1330 ],
+ "I1": [ 1331 ],
+ "I2": [ 1328 ],
+ "I3": [ 1327 ],
+ "O": [ 1332 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25811": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1333 ],
+ "I1": [ 597 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1334 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25812": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 16384
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1335 ],
+ "I1": [ 1336 ],
+ "I2": [ 1337 ],
+ "I3": [ 1338 ],
+ "O": [ 1333 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25813": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1911
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1339 ],
+ "I1": [ 611 ],
+ "I2": [ 293 ],
+ "I3": [ 1340 ],
+ "O": [ 1338 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25814": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1911
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1341 ],
+ "I1": [ 290 ],
+ "I2": [ 284 ],
+ "I3": [ 1342 ],
+ "O": [ 1336 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25815": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1911
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 803 ],
+ "I1": [ 606 ],
+ "I2": [ 295 ],
+ "I3": [ 1343 ],
+ "O": [ 1337 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25816": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4096
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1344 ],
+ "I1": [ 1345 ],
+ "I2": [ 216 ],
+ "I3": [ 276 ],
+ "O": [ 1335 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25817": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 773
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1346 ],
+ "I1": [ 1347 ],
+ "I2": [ 1327 ],
+ "I3": [ 1328 ],
+ "O": [ 1344 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25818": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 13568
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1348 ],
+ "I1": [ 1349 ],
+ "I2": [ 1328 ],
+ "I3": [ 1327 ],
+ "O": [ 1345 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25819": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 112
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1350 ],
+ "I1": [ 1351 ],
+ "I2": [ 597 ],
+ "I3": [ "0" ],
+ "O": [ 1352 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25820": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1911
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1353 ],
+ "I1": [ 611 ],
+ "I2": [ 295 ],
+ "I3": [ 1354 ],
+ "O": [ 1351 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25821": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1911
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1355 ],
+ "I1": [ 606 ],
+ "I2": [ 284 ],
+ "I3": [ 1356 ],
+ "O": [ 1350 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25822": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 112
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1357 ],
+ "I1": [ 1358 ],
+ "I2": [ 597 ],
+ "I3": [ "0" ],
+ "O": [ 1359 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25823": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1911
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1360 ],
+ "I1": [ 611 ],
+ "I2": [ 295 ],
+ "I3": [ 1361 ],
+ "O": [ 1358 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25824": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1911
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1362 ],
+ "I1": [ 606 ],
+ "I2": [ 284 ],
+ "I3": [ 1363 ],
+ "O": [ 1357 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25825": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 112
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1364 ],
+ "I1": [ 1365 ],
+ "I2": [ 597 ],
+ "I3": [ "0" ],
+ "O": [ 1366 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25826": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1911
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1367 ],
+ "I1": [ 611 ],
+ "I2": [ 295 ],
+ "I3": [ 1368 ],
+ "O": [ 1365 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25827": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1911
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 800 ],
+ "I1": [ 606 ],
+ "I2": [ 284 ],
+ "I3": [ 1369 ],
+ "O": [ 1364 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25828": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 112
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1370 ],
+ "I1": [ 1371 ],
+ "I2": [ 597 ],
+ "I3": [ "0" ],
+ "O": [ 1372 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25829": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1911
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 713 ],
+ "I1": [ 611 ],
+ "I2": [ 295 ],
+ "I3": [ 1373 ],
+ "O": [ 1371 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25830": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1911
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 807 ],
+ "I1": [ 606 ],
+ "I2": [ 284 ],
+ "I3": [ 1374 ],
+ "O": [ 1370 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25831": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 112
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1375 ],
+ "I1": [ 1376 ],
+ "I2": [ 597 ],
+ "I3": [ "0" ],
+ "O": [ 1377 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25832": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1911
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1378 ],
+ "I1": [ 611 ],
+ "I2": [ 295 ],
+ "I3": [ 1379 ],
+ "O": [ 1376 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25833": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1911
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 808 ],
+ "I1": [ 606 ],
+ "I2": [ 284 ],
+ "I3": [ 1380 ],
+ "O": [ 1375 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25834": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 112
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1381 ],
+ "I1": [ 1382 ],
+ "I2": [ 597 ],
+ "I3": [ "0" ],
+ "O": [ 1383 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25835": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1911
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 710 ],
+ "I1": [ 611 ],
+ "I2": [ 295 ],
+ "I3": [ 1384 ],
+ "O": [ 1382 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25836": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1911
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1385 ],
+ "I1": [ 606 ],
+ "I2": [ 284 ],
+ "I3": [ 1386 ],
+ "O": [ 1381 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25837": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 63743
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1387 ],
+ "I1": [ 510 ],
+ "I2": [ 1388 ],
+ "I3": [ 1389 ],
+ "O": [ 1390 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25838": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1911
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 884 ],
+ "I1": [ 545 ],
+ "I2": [ 529 ],
+ "I3": [ 1391 ],
+ "O": [ 1389 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25839": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4096
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 138 ],
+ "I1": [ 139 ],
+ "I2": [ 140 ],
+ "I3": [ 1392 ],
+ "O": [ 1388 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25840": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 96
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 138 ],
+ "I1": [ 139 ],
+ "I2": [ 140 ],
+ "I3": [ "0" ],
+ "O": [ 1393 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25841": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 16
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1394 ],
+ "I1": [ 1395 ],
+ "I2": [ 1396 ],
+ "I3": [ "0" ],
+ "O": [ 1397 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25842": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 225
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 133 ],
+ "I1": [ 134 ],
+ "I2": [ 132 ],
+ "I3": [ "0" ],
+ "O": [ 1394 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25843": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 7
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1398 ],
+ "I1": [ 1399 ],
+ "I2": [ 459 ],
+ "I3": [ "0" ],
+ "O": [ 1400 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25844": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 239
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 432 ],
+ "I1": [ 80 ],
+ "I2": [ 455 ],
+ "I3": [ 1401 ],
+ "O": [ 1399 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25845": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 28672
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 426 ],
+ "I1": [ 424 ],
+ "I2": [ 60 ],
+ "I3": [ 425 ],
+ "O": [ 1401 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25846": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1792
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 457 ],
+ "I1": [ 80 ],
+ "I2": [ 420 ],
+ "I3": [ 1402 ],
+ "O": [ 1398 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25847": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 32512
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 419 ],
+ "I1": [ 1403 ],
+ "I2": [ 1404 ],
+ "I3": [ 1405 ],
+ "O": [ 1402 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25848": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 96
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1406 ],
+ "I1": [ 1407 ],
+ "I2": [ 1408 ],
+ "I3": [ "0" ],
+ "O": [ 1403 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25849": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1409 ],
+ "I1": [ 1410 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1408 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25850": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1411 ],
+ "I1": [ 1412 ],
+ "I2": [ 1413 ],
+ "I3": [ 1414 ],
+ "O": [ 1404 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25851": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 16376
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 426 ],
+ "I1": [ 59 ],
+ "I2": [ 61 ],
+ "I3": [ 60 ],
+ "O": [ 1405 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25852": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 7
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1415 ],
+ "I1": [ 1399 ],
+ "I2": [ 459 ],
+ "I3": [ "0" ],
+ "O": [ 1416 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25853": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 208
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 457 ],
+ "I1": [ 80 ],
+ "I2": [ 1417 ],
+ "I3": [ "0" ],
+ "O": [ 1415 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25854": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1418 ],
+ "I1": [ 789 ],
+ "I2": [ 1419 ],
+ "I3": [ "0" ],
+ "O": [ 1417 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25855": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 32512
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 426 ],
+ "I1": [ 1420 ],
+ "I2": [ 424 ],
+ "I3": [ 431 ],
+ "O": [ 1418 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25856": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 16384
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1407 ],
+ "I1": [ 1408 ],
+ "I2": [ 1406 ],
+ "I3": [ 1404 ],
+ "O": [ 1420 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25857": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 112
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 383 ],
+ "I1": [ 424 ],
+ "I2": [ 384 ],
+ "I3": [ "0" ],
+ "O": [ 1419 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25858": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 13
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1421 ],
+ "I1": [ 78 ],
+ "I2": [ 459 ],
+ "I3": [ "0" ],
+ "O": [ 1422 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25859": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 3908
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 420 ],
+ "I1": [ 1423 ],
+ "I2": [ 1420 ],
+ "I3": [ 419 ],
+ "O": [ 1421 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25860": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 418 ],
+ "I1": [ 457 ],
+ "I2": [ 1419 ],
+ "I3": [ "0" ],
+ "O": [ 1423 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25861": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 64
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 263 ],
+ "I1": [ 455 ],
+ "I2": [ 266 ],
+ "I3": [ "0" ],
+ "O": [ 1424 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25862": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 78 ],
+ "I1": [ 1425 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1426 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25863": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 103 ],
+ "I1": [ 78 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1427 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25864": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 78 ],
+ "I1": [ 1428 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1429 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25865": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 78 ],
+ "I1": [ 1430 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1431 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25866": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 78 ],
+ "I1": [ 1432 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1433 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25867": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 78 ],
+ "I1": [ 1434 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1435 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25868": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 78 ],
+ "I1": [ 1436 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1437 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25869": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 78 ],
+ "I1": [ 1438 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1439 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25870": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 78 ],
+ "I1": [ 1440 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1441 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25871": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 78 ],
+ "I1": [ 1442 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1443 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25872": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 78 ],
+ "I1": [ 1444 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1445 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25873": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 78 ],
+ "I1": [ 1446 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1447 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25874": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 78 ],
+ "I1": [ 1448 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1449 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25875": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 78 ],
+ "I1": [ 1450 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1451 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25876": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 78 ],
+ "I1": [ 1452 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1453 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25877": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 78 ],
+ "I1": [ 1454 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1455 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25878": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 14
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 507 ],
+ "I1": [ 1456 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1457 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25879": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 208
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 75 ],
+ "I1": [ 76 ],
+ "I2": [ 1458 ],
+ "I3": [ "0" ],
+ "O": [ 1459 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25880": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 13
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 75 ],
+ "I1": [ 76 ],
+ "I2": [ 168 ],
+ "I3": [ "0" ],
+ "O": [ 1460 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25881": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 208
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 75 ],
+ "I1": [ 76 ],
+ "I2": [ 1461 ],
+ "I3": [ "0" ],
+ "O": [ 1462 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25882": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 208
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 75 ],
+ "I1": [ 76 ],
+ "I2": [ 1463 ],
+ "I3": [ "0" ],
+ "O": [ 1464 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25883": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 58
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 583 ],
+ "I1": [ 885 ],
+ "I2": [ 558 ],
+ "I3": [ "0" ],
+ "O": [ 1465 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25884": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 58
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 576 ],
+ "I1": [ 886 ],
+ "I2": [ 558 ],
+ "I3": [ "0" ],
+ "O": [ 1466 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25885": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 58
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 577 ],
+ "I1": [ 1456 ],
+ "I2": [ 558 ],
+ "I3": [ "0" ],
+ "O": [ 1467 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25886": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 58
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 578 ],
+ "I1": [ 888 ],
+ "I2": [ 558 ],
+ "I3": [ "0" ],
+ "O": [ 1468 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25887": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 58
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 579 ],
+ "I1": [ 890 ],
+ "I2": [ 558 ],
+ "I3": [ "0" ],
+ "O": [ 1469 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25888": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 58
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 572 ],
+ "I1": [ 892 ],
+ "I2": [ 558 ],
+ "I3": [ "0" ],
+ "O": [ 1470 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25889": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 58
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 573 ],
+ "I1": [ 894 ],
+ "I2": [ 558 ],
+ "I3": [ "0" ],
+ "O": [ 1471 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25890": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 58
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 574 ],
+ "I1": [ 896 ],
+ "I2": [ 558 ],
+ "I3": [ "0" ],
+ "O": [ 1472 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25891": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 58
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 575 ],
+ "I1": [ 898 ],
+ "I2": [ 558 ],
+ "I3": [ "0" ],
+ "O": [ 1473 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25892": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 58
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 584 ],
+ "I1": [ 900 ],
+ "I2": [ 558 ],
+ "I3": [ "0" ],
+ "O": [ 1474 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25893": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 58
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 585 ],
+ "I1": [ 902 ],
+ "I2": [ 558 ],
+ "I3": [ "0" ],
+ "O": [ 1475 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25894": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 58
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 586 ],
+ "I1": [ 904 ],
+ "I2": [ 558 ],
+ "I3": [ "0" ],
+ "O": [ 1476 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25895": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 58
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 587 ],
+ "I1": [ 906 ],
+ "I2": [ 558 ],
+ "I3": [ "0" ],
+ "O": [ 1477 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25896": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 58
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 580 ],
+ "I1": [ 908 ],
+ "I2": [ 558 ],
+ "I3": [ "0" ],
+ "O": [ 1478 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25897": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 58
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 581 ],
+ "I1": [ 910 ],
+ "I2": [ 558 ],
+ "I3": [ "0" ],
+ "O": [ 1479 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25898": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 58
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 582 ],
+ "I1": [ 912 ],
+ "I2": [ 558 ],
+ "I3": [ "0" ],
+ "O": [ 1480 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25899": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 14
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 494 ],
+ "I1": [ 783 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1481 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25900": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 202
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 538 ],
+ "I1": [ 786 ],
+ "I2": [ 507 ],
+ "I3": [ "0" ],
+ "O": [ 1482 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25901": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 202
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 539 ],
+ "I1": [ 787 ],
+ "I2": [ 507 ],
+ "I3": [ "0" ],
+ "O": [ 1483 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25902": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 507 ],
+ "I1": [ 540 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1484 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25903": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 202
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 541 ],
+ "I1": [ 1485 ],
+ "I2": [ 507 ],
+ "I3": [ "0" ],
+ "O": [ 1486 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25904": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 58
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 542 ],
+ "I1": [ 786 ],
+ "I2": [ 507 ],
+ "I3": [ "0" ],
+ "O": [ 1487 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25905": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 58
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 535 ],
+ "I1": [ 787 ],
+ "I2": [ 507 ],
+ "I3": [ "0" ],
+ "O": [ 1488 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25906": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 14
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 507 ],
+ "I1": [ 536 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1489 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25907": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 58
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 537 ],
+ "I1": [ 1485 ],
+ "I2": [ 507 ],
+ "I3": [ "0" ],
+ "O": [ 1490 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25908": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 507 ],
+ "I1": [ 524 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1491 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25909": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 507 ],
+ "I1": [ 525 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1492 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25910": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 507 ],
+ "I1": [ 526 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1493 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25911": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 507 ],
+ "I1": [ 527 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1494 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25912": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 507 ],
+ "I1": [ 528 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1495 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25913": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 507 ],
+ "I1": [ 521 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1496 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25914": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 507 ],
+ "I1": [ 522 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1497 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25915": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 14
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 507 ],
+ "I1": [ 523 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1498 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25916": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 14
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 494 ],
+ "I1": [ 763 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1499 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25917": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 16
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 494 ],
+ "I1": [ 498 ],
+ "I2": [ 1500 ],
+ "I3": [ "0" ],
+ "O": [ 1501 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25918": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 16
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 494 ],
+ "I1": [ 498 ],
+ "I2": [ 1502 ],
+ "I3": [ "0" ],
+ "O": [ 1503 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25919": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 16
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 494 ],
+ "I1": [ 498 ],
+ "I2": [ 1504 ],
+ "I3": [ "0" ],
+ "O": [ 1505 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25920": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 16
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 494 ],
+ "I1": [ 498 ],
+ "I2": [ 1506 ],
+ "I3": [ "0" ],
+ "O": [ 1507 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25921": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 16
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 494 ],
+ "I1": [ 498 ],
+ "I2": [ 1508 ],
+ "I3": [ "0" ],
+ "O": [ 1509 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25922": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 16
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 494 ],
+ "I1": [ 498 ],
+ "I2": [ 1510 ],
+ "I3": [ "0" ],
+ "O": [ 1511 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25923": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 16
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 494 ],
+ "I1": [ 498 ],
+ "I2": [ 1512 ],
+ "I3": [ "0" ],
+ "O": [ 1513 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25924": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 16
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 494 ],
+ "I1": [ 498 ],
+ "I2": [ 1514 ],
+ "I3": [ "0" ],
+ "O": [ 1515 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25925": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 14
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1516 ],
+ "I1": [ 498 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1517 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25926": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 14
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 494 ],
+ "I1": [ 915 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1516 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25927": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 402 ],
+ "I1": [ 1518 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1519 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25928": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 494 ],
+ "I1": [ 1520 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1521 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25929": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 494 ],
+ "I1": [ 1522 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1523 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25930": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 494 ],
+ "I1": [ 1524 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1525 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25931": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 494 ],
+ "I1": [ 1526 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1527 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25932": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 494 ],
+ "I1": [ 1528 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1529 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25933": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 494 ],
+ "I1": [ 1530 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1531 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25934": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 494 ],
+ "I1": [ 1532 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1533 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25935": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 494 ],
+ "I1": [ 1534 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1535 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25936": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 494 ],
+ "I1": [ 1536 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1537 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25937": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 494 ],
+ "I1": [ 1538 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1539 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25938": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 494 ],
+ "I1": [ 1540 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1541 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25939": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 494 ],
+ "I1": [ 1542 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1543 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25940": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 494 ],
+ "I1": [ 1544 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1545 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25941": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 494 ],
+ "I1": [ 1546 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1547 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25942": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 494 ],
+ "I1": [ 1548 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1549 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25943": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 494 ],
+ "I1": [ 1550 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1551 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25944": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 494 ],
+ "I1": [ 501 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1552 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25945": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 494 ],
+ "I1": [ 500 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1553 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25946": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 494 ],
+ "I1": [ 1554 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1555 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25947": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 494 ],
+ "I1": [ 1556 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1557 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25948": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 494 ],
+ "I1": [ 1558 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1559 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25949": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 494 ],
+ "I1": [ 1560 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1561 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25950": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 494 ],
+ "I1": [ 1562 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1563 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25951": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 494 ],
+ "I1": [ 1564 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1565 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25952": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1394 ],
+ "I1": [ 1396 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1566 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25953": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 20
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1394 ],
+ "I1": [ 1395 ],
+ "I2": [ 1396 ],
+ "I3": [ "0" ],
+ "O": [ 1567 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25954": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 56 ],
+ "I1": [ 1568 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1569 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25955": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 56 ],
+ "I1": [ 485 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1570 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25956": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 56 ],
+ "I1": [ 1571 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1572 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25957": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 56 ],
+ "I1": [ 1573 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1574 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25958": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 56 ],
+ "I1": [ 1575 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1576 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25959": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 56 ],
+ "I1": [ 1577 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1578 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25960": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 56 ],
+ "I1": [ 1579 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1580 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25961": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 56 ],
+ "I1": [ 1581 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1582 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25962": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 56 ],
+ "I1": [ 1583 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1584 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25963": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 56 ],
+ "I1": [ 1585 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1586 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25964": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 56 ],
+ "I1": [ 1587 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1588 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25965": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 56 ],
+ "I1": [ 1589 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1590 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25966": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 56 ],
+ "I1": [ 1591 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1592 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25967": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 56 ],
+ "I1": [ 1593 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1594 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25968": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 56 ],
+ "I1": [ 1595 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1596 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25969": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 61627
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 82 ],
+ "I1": [ 1597 ],
+ "I2": [ 619 ],
+ "I3": [ 423 ],
+ "O": [ 1598 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25970": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1911
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1599 ],
+ "I1": [ 1600 ],
+ "I2": [ 1601 ],
+ "I3": [ 1602 ],
+ "O": [ 1597 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25971": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 61627
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 82 ],
+ "I1": [ 1603 ],
+ "I2": [ 618 ],
+ "I3": [ 423 ],
+ "O": [ 1604 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25972": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1911
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1599 ],
+ "I1": [ 1605 ],
+ "I2": [ 1601 ],
+ "I3": [ 1606 ],
+ "O": [ 1603 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25973": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 61627
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 82 ],
+ "I1": [ 1607 ],
+ "I2": [ 625 ],
+ "I3": [ 423 ],
+ "O": [ 1608 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25974": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1911
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1599 ],
+ "I1": [ 1609 ],
+ "I2": [ 1601 ],
+ "I3": [ 1610 ],
+ "O": [ 1607 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25975": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 61627
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 82 ],
+ "I1": [ 1611 ],
+ "I2": [ 626 ],
+ "I3": [ 423 ],
+ "O": [ 1612 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25976": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1911
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1599 ],
+ "I1": [ 1613 ],
+ "I2": [ 1601 ],
+ "I3": [ 1614 ],
+ "O": [ 1611 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25977": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 61627
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 82 ],
+ "I1": [ 1615 ],
+ "I2": [ 627 ],
+ "I3": [ 423 ],
+ "O": [ 1616 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25978": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1911
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1599 ],
+ "I1": [ 1617 ],
+ "I2": [ 1601 ],
+ "I3": [ 1618 ],
+ "O": [ 1615 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25979": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 61627
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 82 ],
+ "I1": [ 1619 ],
+ "I2": [ 622 ],
+ "I3": [ 423 ],
+ "O": [ 1620 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25980": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1911
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1599 ],
+ "I1": [ 1621 ],
+ "I2": [ 1601 ],
+ "I3": [ 1622 ],
+ "O": [ 1619 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25981": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 61627
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 82 ],
+ "I1": [ 1623 ],
+ "I2": [ 623 ],
+ "I3": [ 423 ],
+ "O": [ 1624 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25982": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1911
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1599 ],
+ "I1": [ 1625 ],
+ "I2": [ 1601 ],
+ "I3": [ 1626 ],
+ "O": [ 1623 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25983": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 61627
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 82 ],
+ "I1": [ 1627 ],
+ "I2": [ 624 ],
+ "I3": [ 423 ],
+ "O": [ 1628 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25984": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1911
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1629 ],
+ "I1": [ 1601 ],
+ "I2": [ 1630 ],
+ "I3": [ 1599 ],
+ "O": [ 1627 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25985": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 163
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1631 ],
+ "I1": [ 1632 ],
+ "I2": [ 423 ],
+ "I3": [ "0" ],
+ "O": [ 1633 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25986": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 7
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1599 ],
+ "I1": [ 1634 ],
+ "I2": [ 82 ],
+ "I3": [ "0" ],
+ "O": [ 1632 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25987": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 163
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1635 ],
+ "I1": [ 1636 ],
+ "I2": [ 423 ],
+ "I3": [ "0" ],
+ "O": [ 1637 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25988": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 7
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1599 ],
+ "I1": [ 1638 ],
+ "I2": [ 82 ],
+ "I3": [ "0" ],
+ "O": [ 1636 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25989": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 163
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1639 ],
+ "I1": [ 1640 ],
+ "I2": [ 423 ],
+ "I3": [ "0" ],
+ "O": [ 1641 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25990": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 7
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1599 ],
+ "I1": [ 1642 ],
+ "I2": [ 82 ],
+ "I3": [ "0" ],
+ "O": [ 1640 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25991": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 163
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1643 ],
+ "I1": [ 1644 ],
+ "I2": [ 423 ],
+ "I3": [ "0" ],
+ "O": [ 1645 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25992": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 7
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1599 ],
+ "I1": [ 1646 ],
+ "I2": [ 82 ],
+ "I3": [ "0" ],
+ "O": [ 1644 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25993": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 163
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1647 ],
+ "I1": [ 1648 ],
+ "I2": [ 423 ],
+ "I3": [ "0" ],
+ "O": [ 1649 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25994": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 7
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1599 ],
+ "I1": [ 1650 ],
+ "I2": [ 82 ],
+ "I3": [ "0" ],
+ "O": [ 1648 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25995": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 163
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1651 ],
+ "I1": [ 1652 ],
+ "I2": [ 423 ],
+ "I3": [ "0" ],
+ "O": [ 1653 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25996": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 7
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1599 ],
+ "I1": [ 1654 ],
+ "I2": [ 82 ],
+ "I3": [ "0" ],
+ "O": [ 1652 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25997": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 163
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1655 ],
+ "I1": [ 1656 ],
+ "I2": [ 423 ],
+ "I3": [ "0" ],
+ "O": [ 1657 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25998": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 7
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1599 ],
+ "I1": [ 1658 ],
+ "I2": [ 82 ],
+ "I3": [ "0" ],
+ "O": [ 1656 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$25999": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 163
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1659 ],
+ "I1": [ 1660 ],
+ "I2": [ 423 ],
+ "I3": [ "0" ],
+ "O": [ 1661 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26000": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 7
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1599 ],
+ "I1": [ 1662 ],
+ "I2": [ 82 ],
+ "I3": [ "0" ],
+ "O": [ 1660 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26001": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 163
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1663 ],
+ "I1": [ 1664 ],
+ "I2": [ 423 ],
+ "I3": [ "0" ],
+ "O": [ 1665 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26002": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 7
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1599 ],
+ "I1": [ 1666 ],
+ "I2": [ 82 ],
+ "I3": [ "0" ],
+ "O": [ 1664 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26003": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 163
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1667 ],
+ "I1": [ 1668 ],
+ "I2": [ 423 ],
+ "I3": [ "0" ],
+ "O": [ 1669 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26004": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 7
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1599 ],
+ "I1": [ 1670 ],
+ "I2": [ 82 ],
+ "I3": [ "0" ],
+ "O": [ 1668 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26005": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 163
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1671 ],
+ "I1": [ 1672 ],
+ "I2": [ 423 ],
+ "I3": [ "0" ],
+ "O": [ 1673 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26006": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 7
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1599 ],
+ "I1": [ 1674 ],
+ "I2": [ 82 ],
+ "I3": [ "0" ],
+ "O": [ 1672 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26007": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 163
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1675 ],
+ "I1": [ 1676 ],
+ "I2": [ 423 ],
+ "I3": [ "0" ],
+ "O": [ 1677 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26008": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 7
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1599 ],
+ "I1": [ 1678 ],
+ "I2": [ 82 ],
+ "I3": [ "0" ],
+ "O": [ 1676 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26009": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 163
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1679 ],
+ "I1": [ 1680 ],
+ "I2": [ 423 ],
+ "I3": [ "0" ],
+ "O": [ 1681 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26010": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 7
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1599 ],
+ "I1": [ 1682 ],
+ "I2": [ 82 ],
+ "I3": [ "0" ],
+ "O": [ 1680 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26011": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 163
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1683 ],
+ "I1": [ 1684 ],
+ "I2": [ 423 ],
+ "I3": [ "0" ],
+ "O": [ 1685 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26012": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 7
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1599 ],
+ "I1": [ 1686 ],
+ "I2": [ 82 ],
+ "I3": [ "0" ],
+ "O": [ 1684 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26013": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 163
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1687 ],
+ "I1": [ 1688 ],
+ "I2": [ 423 ],
+ "I3": [ "0" ],
+ "O": [ 1689 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26014": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 7
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1599 ],
+ "I1": [ 1690 ],
+ "I2": [ 82 ],
+ "I3": [ "0" ],
+ "O": [ 1688 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26015": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 163
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1691 ],
+ "I1": [ 1692 ],
+ "I2": [ 423 ],
+ "I3": [ "0" ],
+ "O": [ 1693 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26016": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 7
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1599 ],
+ "I1": [ 935 ],
+ "I2": [ 82 ],
+ "I3": [ "0" ],
+ "O": [ 1692 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26017": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 163
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1694 ],
+ "I1": [ 1695 ],
+ "I2": [ 423 ],
+ "I3": [ "0" ],
+ "O": [ 1696 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26018": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 7
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1599 ],
+ "I1": [ 938 ],
+ "I2": [ 82 ],
+ "I3": [ "0" ],
+ "O": [ 1695 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26019": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 163
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1697 ],
+ "I1": [ 1698 ],
+ "I2": [ 423 ],
+ "I3": [ "0" ],
+ "O": [ 1699 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26020": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 7
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1599 ],
+ "I1": [ 941 ],
+ "I2": [ 82 ],
+ "I3": [ "0" ],
+ "O": [ 1698 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26021": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 163
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1700 ],
+ "I1": [ 1701 ],
+ "I2": [ 423 ],
+ "I3": [ "0" ],
+ "O": [ 1702 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26022": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 7
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1599 ],
+ "I1": [ 944 ],
+ "I2": [ 82 ],
+ "I3": [ "0" ],
+ "O": [ 1701 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26023": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 163
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1703 ],
+ "I1": [ 1704 ],
+ "I2": [ 423 ],
+ "I3": [ "0" ],
+ "O": [ 1705 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26024": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 7
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1599 ],
+ "I1": [ 947 ],
+ "I2": [ 82 ],
+ "I3": [ "0" ],
+ "O": [ 1704 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26025": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 163
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1706 ],
+ "I1": [ 1707 ],
+ "I2": [ 423 ],
+ "I3": [ "0" ],
+ "O": [ 1708 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26026": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 7
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1599 ],
+ "I1": [ 950 ],
+ "I2": [ 82 ],
+ "I3": [ "0" ],
+ "O": [ 1707 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26027": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 163
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1709 ],
+ "I1": [ 1710 ],
+ "I2": [ 423 ],
+ "I3": [ "0" ],
+ "O": [ 1711 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26028": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 7
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1599 ],
+ "I1": [ 953 ],
+ "I2": [ 82 ],
+ "I3": [ "0" ],
+ "O": [ 1710 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26029": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 163
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1712 ],
+ "I1": [ 1713 ],
+ "I2": [ 423 ],
+ "I3": [ "0" ],
+ "O": [ 1714 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26030": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 7
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1599 ],
+ "I1": [ 956 ],
+ "I2": [ 82 ],
+ "I3": [ "0" ],
+ "O": [ 1713 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26031": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 163
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1715 ],
+ "I1": [ 1716 ],
+ "I2": [ 423 ],
+ "I3": [ "0" ],
+ "O": [ 1717 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26032": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 7
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1599 ],
+ "I1": [ 795 ],
+ "I2": [ 82 ],
+ "I3": [ "0" ],
+ "O": [ 1716 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26033": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 3908
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 401 ],
+ "I1": [ 416 ],
+ "I2": [ 1718 ],
+ "I3": [ 402 ],
+ "O": [ 1719 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26034": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 44032
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 401 ],
+ "I1": [ 400 ],
+ "I2": [ 413 ],
+ "I3": [ 403 ],
+ "O": [ 1718 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26035": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 402 ],
+ "I1": [ 1720 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1721 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26036": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 404 ],
+ "I1": [ 402 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1722 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26037": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 402 ],
+ "I1": [ 1723 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1724 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26038": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 402 ],
+ "I1": [ 1725 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1726 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26039": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 382 ],
+ "I1": [ 1727 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1728 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26040": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1729 ],
+ "I1": [ 402 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1730 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26041": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 402 ],
+ "I1": [ 1731 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1732 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26042": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 402 ],
+ "I1": [ 1733 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1734 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26043": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 402 ],
+ "I1": [ 1735 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1736 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26044": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 402 ],
+ "I1": [ 1737 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1738 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26045": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 402 ],
+ "I1": [ 1739 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1740 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26046": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 402 ],
+ "I1": [ 1741 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1742 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26047": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 402 ],
+ "I1": [ 1743 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1744 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26048": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 402 ],
+ "I1": [ 1745 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1746 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26049": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 402 ],
+ "I1": [ 1747 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1748 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26050": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 402 ],
+ "I1": [ 1749 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1750 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26051": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 402 ],
+ "I1": [ 1751 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1752 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26052": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 402 ],
+ "I1": [ 1753 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1754 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26053": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 402 ],
+ "I1": [ 1755 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1756 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26054": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 402 ],
+ "I1": [ 1757 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1758 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26055": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 402 ],
+ "I1": [ 1759 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1760 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26056": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 402 ],
+ "I1": [ 1761 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1762 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26057": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 402 ],
+ "I1": [ 1763 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1764 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26058": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 402 ],
+ "I1": [ 1765 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1766 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26059": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 402 ],
+ "I1": [ 1767 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1768 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26060": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 402 ],
+ "I1": [ 1769 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1770 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26061": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 402 ],
+ "I1": [ 1771 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1772 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26062": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 402 ],
+ "I1": [ 1773 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1774 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26063": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 402 ],
+ "I1": [ 1775 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1776 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26064": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 402 ],
+ "I1": [ 1777 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1778 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26065": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 402 ],
+ "I1": [ 1779 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1780 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26066": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 402 ],
+ "I1": [ 1781 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1782 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26067": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 402 ],
+ "I1": [ 1783 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1784 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26068": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 11
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1785 ],
+ "I1": [ 402 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1786 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26069": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 385 ],
+ "I1": [ 1787 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1788 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26070": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 385 ],
+ "I1": [ 391 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1789 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26071": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 385 ],
+ "I1": [ 1790 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1791 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26072": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 385 ],
+ "I1": [ 1792 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1793 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26073": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 58
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1794 ],
+ "I1": [ 1795 ],
+ "I2": [ 385 ],
+ "I3": [ "0" ],
+ "O": [ 1796 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26074": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1792
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1694 ],
+ "I1": [ 1797 ],
+ "I2": [ 1798 ],
+ "I3": [ 1799 ],
+ "O": [ 1795 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26075": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1911
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1800 ],
+ "I1": [ 1801 ],
+ "I2": [ 1802 ],
+ "I3": [ 1663 ],
+ "O": [ 1799 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26076": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 16
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 428 ],
+ "I1": [ 427 ],
+ "I2": [ 429 ],
+ "I3": [ "0" ],
+ "O": [ 1802 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26077": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 428 ],
+ "I1": [ 427 ],
+ "I2": [ 429 ],
+ "I3": [ "0" ],
+ "O": [ 1800 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26078": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 16
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 427 ],
+ "I1": [ 429 ],
+ "I2": [ 428 ],
+ "I3": [ "0" ],
+ "O": [ 1797 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26079": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 63488
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 428 ],
+ "I1": [ 429 ],
+ "I2": [ 427 ],
+ "I3": [ 1631 ],
+ "O": [ 1798 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26080": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 58
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1803 ],
+ "I1": [ 1804 ],
+ "I2": [ 385 ],
+ "I3": [ "0" ],
+ "O": [ 1805 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26081": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1792
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1697 ],
+ "I1": [ 1797 ],
+ "I2": [ 1806 ],
+ "I3": [ 1807 ],
+ "O": [ 1804 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26082": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1911
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1800 ],
+ "I1": [ 1808 ],
+ "I2": [ 1802 ],
+ "I3": [ 1667 ],
+ "O": [ 1807 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26083": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 63488
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 428 ],
+ "I1": [ 429 ],
+ "I2": [ 427 ],
+ "I3": [ 1635 ],
+ "O": [ 1806 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26084": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 58
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1809 ],
+ "I1": [ 1810 ],
+ "I2": [ 385 ],
+ "I3": [ "0" ],
+ "O": [ 1811 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26085": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1792
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1700 ],
+ "I1": [ 1797 ],
+ "I2": [ 1812 ],
+ "I3": [ 1813 ],
+ "O": [ 1810 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26086": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1911
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1800 ],
+ "I1": [ 1814 ],
+ "I2": [ 1802 ],
+ "I3": [ 1671 ],
+ "O": [ 1813 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26087": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 63488
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 428 ],
+ "I1": [ 429 ],
+ "I2": [ 427 ],
+ "I3": [ 1639 ],
+ "O": [ 1812 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26088": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 58
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1815 ],
+ "I1": [ 1816 ],
+ "I2": [ 385 ],
+ "I3": [ "0" ],
+ "O": [ 1817 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26089": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1792
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1703 ],
+ "I1": [ 1797 ],
+ "I2": [ 1818 ],
+ "I3": [ 1819 ],
+ "O": [ 1816 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26090": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1911
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1800 ],
+ "I1": [ 1820 ],
+ "I2": [ 1802 ],
+ "I3": [ 1675 ],
+ "O": [ 1819 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26091": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 63488
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 428 ],
+ "I1": [ 429 ],
+ "I2": [ 427 ],
+ "I3": [ 1643 ],
+ "O": [ 1818 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26092": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 58
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1821 ],
+ "I1": [ 1822 ],
+ "I2": [ 385 ],
+ "I3": [ "0" ],
+ "O": [ 1823 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26093": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1792
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1706 ],
+ "I1": [ 1797 ],
+ "I2": [ 1824 ],
+ "I3": [ 1825 ],
+ "O": [ 1822 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26094": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1911
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1800 ],
+ "I1": [ 1826 ],
+ "I2": [ 1802 ],
+ "I3": [ 1679 ],
+ "O": [ 1825 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26095": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 63488
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 428 ],
+ "I1": [ 429 ],
+ "I2": [ 427 ],
+ "I3": [ 1647 ],
+ "O": [ 1824 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26096": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 58
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1827 ],
+ "I1": [ 1828 ],
+ "I2": [ 385 ],
+ "I3": [ "0" ],
+ "O": [ 1829 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26097": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1792
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1709 ],
+ "I1": [ 1797 ],
+ "I2": [ 1830 ],
+ "I3": [ 1831 ],
+ "O": [ 1828 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26098": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1911
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1800 ],
+ "I1": [ 1832 ],
+ "I2": [ 1802 ],
+ "I3": [ 1683 ],
+ "O": [ 1831 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26099": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 63488
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 428 ],
+ "I1": [ 429 ],
+ "I2": [ 427 ],
+ "I3": [ 1651 ],
+ "O": [ 1830 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26100": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 58
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1833 ],
+ "I1": [ 1834 ],
+ "I2": [ 385 ],
+ "I3": [ "0" ],
+ "O": [ 1835 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26101": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1792
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1712 ],
+ "I1": [ 1797 ],
+ "I2": [ 1836 ],
+ "I3": [ 1837 ],
+ "O": [ 1834 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26102": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1911
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1800 ],
+ "I1": [ 1838 ],
+ "I2": [ 1802 ],
+ "I3": [ 1687 ],
+ "O": [ 1837 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26103": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 63488
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 428 ],
+ "I1": [ 429 ],
+ "I2": [ 427 ],
+ "I3": [ 1655 ],
+ "O": [ 1836 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26104": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 112
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1839 ],
+ "I1": [ 1840 ],
+ "I2": [ 385 ],
+ "I3": [ "0" ],
+ "O": [ 1841 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26105": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 7
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1715 ],
+ "I1": [ 1797 ],
+ "I2": [ 1842 ],
+ "I3": [ "0" ],
+ "O": [ 1840 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26106": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 63488
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 428 ],
+ "I1": [ 429 ],
+ "I2": [ 427 ],
+ "I3": [ 1659 ],
+ "O": [ 1842 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26107": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1911
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1800 ],
+ "I1": [ 1843 ],
+ "I2": [ 1802 ],
+ "I3": [ 1691 ],
+ "O": [ 1839 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26108": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 372 ],
+ "I1": [ 1630 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1844 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26109": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 372 ],
+ "I1": [ 1634 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1845 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26110": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 202
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1638 ],
+ "I1": [ 1846 ],
+ "I2": [ 372 ],
+ "I3": [ "0" ],
+ "O": [ 1847 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26111": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 202
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1642 ],
+ "I1": [ 1848 ],
+ "I2": [ 372 ],
+ "I3": [ "0" ],
+ "O": [ 1849 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26112": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 202
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1646 ],
+ "I1": [ 1850 ],
+ "I2": [ 372 ],
+ "I3": [ "0" ],
+ "O": [ 1851 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26113": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 202
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1650 ],
+ "I1": [ 1852 ],
+ "I2": [ 372 ],
+ "I3": [ "0" ],
+ "O": [ 1853 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26114": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 202
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1654 ],
+ "I1": [ 1854 ],
+ "I2": [ 372 ],
+ "I3": [ "0" ],
+ "O": [ 1855 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26115": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 202
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1658 ],
+ "I1": [ 1856 ],
+ "I2": [ 372 ],
+ "I3": [ "0" ],
+ "O": [ 1857 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26116": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 202
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1662 ],
+ "I1": [ 1858 ],
+ "I2": [ 372 ],
+ "I3": [ "0" ],
+ "O": [ 1859 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26117": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 202
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1666 ],
+ "I1": [ 1860 ],
+ "I2": [ 372 ],
+ "I3": [ "0" ],
+ "O": [ 1861 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26118": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 202
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1670 ],
+ "I1": [ 1862 ],
+ "I2": [ 372 ],
+ "I3": [ "0" ],
+ "O": [ 1863 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26119": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 202
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1674 ],
+ "I1": [ 1864 ],
+ "I2": [ 372 ],
+ "I3": [ "0" ],
+ "O": [ 1865 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26120": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 202
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1678 ],
+ "I1": [ 1866 ],
+ "I2": [ 372 ],
+ "I3": [ "0" ],
+ "O": [ 1867 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26121": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 202
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1682 ],
+ "I1": [ 1868 ],
+ "I2": [ 372 ],
+ "I3": [ "0" ],
+ "O": [ 1869 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26122": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 202
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1686 ],
+ "I1": [ 1870 ],
+ "I2": [ 372 ],
+ "I3": [ "0" ],
+ "O": [ 1871 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26123": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 202
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1690 ],
+ "I1": [ 1872 ],
+ "I2": [ 372 ],
+ "I3": [ "0" ],
+ "O": [ 1873 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26124": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1874 ],
+ "I1": [ 382 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1875 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26125": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 382 ],
+ "I1": [ 1876 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1877 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26126": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 382 ],
+ "I1": [ 1878 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1879 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26127": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 382 ],
+ "I1": [ 1880 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1881 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26128": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 382 ],
+ "I1": [ 1882 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1883 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26129": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 382 ],
+ "I1": [ 1884 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1885 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26130": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 382 ],
+ "I1": [ 1886 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1887 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26131": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 382 ],
+ "I1": [ 1888 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1889 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26132": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 382 ],
+ "I1": [ 1890 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1891 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26133": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 382 ],
+ "I1": [ 1892 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1893 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26134": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 382 ],
+ "I1": [ 1894 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1895 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26135": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 382 ],
+ "I1": [ 1896 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1897 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26136": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 382 ],
+ "I1": [ 1898 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1899 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26137": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 382 ],
+ "I1": [ 1900 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1901 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26138": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 382 ],
+ "I1": [ 1902 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1903 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26139": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 382 ],
+ "I1": [ 1904 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1905 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26140": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 382 ],
+ "I1": [ 1906 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1907 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26141": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 382 ],
+ "I1": [ 1908 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1909 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26142": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 382 ],
+ "I1": [ 1910 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1911 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26143": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 382 ],
+ "I1": [ 1912 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1913 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26144": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 382 ],
+ "I1": [ 1914 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1915 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26145": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 382 ],
+ "I1": [ 1916 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1917 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26146": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 382 ],
+ "I1": [ 1918 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1919 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26147": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 382 ],
+ "I1": [ 1920 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1921 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26148": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 382 ],
+ "I1": [ 1922 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1923 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26149": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 382 ],
+ "I1": [ 1924 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1925 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26150": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 382 ],
+ "I1": [ 1926 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1927 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26151": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 382 ],
+ "I1": [ 1928 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1929 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26152": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 382 ],
+ "I1": [ 1930 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1931 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26153": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 14
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1932 ],
+ "I1": [ 366 ],
+ "I2": [ 378 ],
+ "I3": [ "0" ],
+ "O": [ 1933 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26154": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 366 ],
+ "I1": [ 49 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1934 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26155": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 376 ],
+ "I1": [ 1935 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1936 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26156": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 376 ],
+ "I1": [ 1937 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1938 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26157": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 376 ],
+ "I1": [ 1939 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1940 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26158": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 376 ],
+ "I1": [ 1941 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1942 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26159": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 376 ],
+ "I1": [ 1943 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1944 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26160": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 376 ],
+ "I1": [ 1945 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 1946 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26161": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 58
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 985 ],
+ "I1": [ 1947 ],
+ "I2": [ 355 ],
+ "I3": [ "0" ],
+ "O": [ 1948 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26162": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 53
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 974 ],
+ "I1": [ 1949 ],
+ "I2": [ 302 ],
+ "I3": [ "0" ],
+ "O": [ 1947 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26163": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 58
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1004 ],
+ "I1": [ 1950 ],
+ "I2": [ 355 ],
+ "I3": [ "0" ],
+ "O": [ 1951 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26164": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 197
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 990 ],
+ "I1": [ 336 ],
+ "I2": [ 302 ],
+ "I3": [ "0" ],
+ "O": [ 1950 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26165": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 58
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1027 ],
+ "I1": [ 1952 ],
+ "I2": [ 355 ],
+ "I3": [ "0" ],
+ "O": [ 1953 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26166": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 53
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1018 ],
+ "I1": [ 1954 ],
+ "I2": [ 302 ],
+ "I3": [ "0" ],
+ "O": [ 1952 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26167": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 58
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1036 ],
+ "I1": [ 1955 ],
+ "I2": [ 355 ],
+ "I3": [ "0" ],
+ "O": [ 1956 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26168": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 53
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1041 ],
+ "I1": [ 1957 ],
+ "I2": [ 302 ],
+ "I3": [ "0" ],
+ "O": [ 1955 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26169": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 58
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1053 ],
+ "I1": [ 1958 ],
+ "I2": [ 355 ],
+ "I3": [ "0" ],
+ "O": [ 1959 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26170": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 53
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1068 ],
+ "I1": [ 1960 ],
+ "I2": [ 302 ],
+ "I3": [ "0" ],
+ "O": [ 1958 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26171": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 58
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1089 ],
+ "I1": [ 1961 ],
+ "I2": [ 355 ],
+ "I3": [ "0" ],
+ "O": [ 1962 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26172": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 53
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1085 ],
+ "I1": [ 1963 ],
+ "I2": [ 302 ],
+ "I3": [ "0" ],
+ "O": [ 1961 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26173": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 58
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1110 ],
+ "I1": [ 1964 ],
+ "I2": [ 355 ],
+ "I3": [ "0" ],
+ "O": [ 1965 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26174": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 53
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1106 ],
+ "I1": [ 1966 ],
+ "I2": [ 302 ],
+ "I3": [ "0" ],
+ "O": [ 1964 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26175": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 58
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1125 ],
+ "I1": [ 1967 ],
+ "I2": [ 355 ],
+ "I3": [ "0" ],
+ "O": [ 1968 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26176": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 53
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1131 ],
+ "I1": [ 1969 ],
+ "I2": [ 302 ],
+ "I3": [ "0" ],
+ "O": [ 1967 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26177": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 58
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 984 ],
+ "I1": [ 1970 ],
+ "I2": [ 355 ],
+ "I3": [ "0" ],
+ "O": [ 1971 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26178": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 53
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 981 ],
+ "I1": [ 1972 ],
+ "I2": [ 302 ],
+ "I3": [ "0" ],
+ "O": [ 1970 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26179": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 58
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1006 ],
+ "I1": [ 1973 ],
+ "I2": [ 355 ],
+ "I3": [ "0" ],
+ "O": [ 1974 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26180": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 53
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1001 ],
+ "I1": [ 1975 ],
+ "I2": [ 302 ],
+ "I3": [ "0" ],
+ "O": [ 1973 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26181": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 58
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1023 ],
+ "I1": [ 1976 ],
+ "I2": [ 355 ],
+ "I3": [ "0" ],
+ "O": [ 1977 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26182": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 53
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1020 ],
+ "I1": [ 1978 ],
+ "I2": [ 302 ],
+ "I3": [ "0" ],
+ "O": [ 1976 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26183": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 58
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1046 ],
+ "I1": [ 1979 ],
+ "I2": [ 355 ],
+ "I3": [ "0" ],
+ "O": [ 1980 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26184": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 53
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1038 ],
+ "I1": [ 1981 ],
+ "I2": [ 302 ],
+ "I3": [ "0" ],
+ "O": [ 1979 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26185": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 58
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1064 ],
+ "I1": [ 1982 ],
+ "I2": [ 355 ],
+ "I3": [ "0" ],
+ "O": [ 1983 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26186": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 53
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1063 ],
+ "I1": [ 1984 ],
+ "I2": [ 302 ],
+ "I3": [ "0" ],
+ "O": [ 1982 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26187": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 58
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1081 ],
+ "I1": [ 1985 ],
+ "I2": [ 355 ],
+ "I3": [ "0" ],
+ "O": [ 1986 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26188": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 53
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1080 ],
+ "I1": [ 1987 ],
+ "I2": [ 302 ],
+ "I3": [ "0" ],
+ "O": [ 1985 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26189": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 58
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1104 ],
+ "I1": [ 1988 ],
+ "I2": [ 355 ],
+ "I3": [ "0" ],
+ "O": [ 1989 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26190": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 53
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1103 ],
+ "I1": [ 1990 ],
+ "I2": [ 302 ],
+ "I3": [ "0" ],
+ "O": [ 1988 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26191": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 58
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1127 ],
+ "I1": [ 1991 ],
+ "I2": [ 355 ],
+ "I3": [ "0" ],
+ "O": [ 1992 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26192": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 53
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1128 ],
+ "I1": [ 1993 ],
+ "I2": [ 302 ],
+ "I3": [ "0" ],
+ "O": [ 1991 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26193": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 58
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 966 ],
+ "I1": [ 1994 ],
+ "I2": [ 355 ],
+ "I3": [ "0" ],
+ "O": [ 1995 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26194": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 53
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 971 ],
+ "I1": [ 1996 ],
+ "I2": [ 302 ],
+ "I3": [ "0" ],
+ "O": [ 1994 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26195": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 58
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1005 ],
+ "I1": [ 1997 ],
+ "I2": [ 355 ],
+ "I3": [ "0" ],
+ "O": [ 1998 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26196": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 53
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1000 ],
+ "I1": [ 1999 ],
+ "I2": [ 302 ],
+ "I3": [ "0" ],
+ "O": [ 1997 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26197": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 58
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1017 ],
+ "I1": [ 2000 ],
+ "I2": [ 355 ],
+ "I3": [ "0" ],
+ "O": [ 2001 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26198": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 53
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1025 ],
+ "I1": [ 2002 ],
+ "I2": [ 302 ],
+ "I3": [ "0" ],
+ "O": [ 2000 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26199": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 58
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1048 ],
+ "I1": [ 2003 ],
+ "I2": [ 355 ],
+ "I3": [ "0" ],
+ "O": [ 2004 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26200": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 53
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1032 ],
+ "I1": [ 2005 ],
+ "I2": [ 302 ],
+ "I3": [ "0" ],
+ "O": [ 2003 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26201": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 58
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1066 ],
+ "I1": [ 2006 ],
+ "I2": [ 355 ],
+ "I3": [ "0" ],
+ "O": [ 2007 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26202": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 53
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1060 ],
+ "I1": [ 2008 ],
+ "I2": [ 302 ],
+ "I3": [ "0" ],
+ "O": [ 2006 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26203": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 58
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1073 ],
+ "I1": [ 2009 ],
+ "I2": [ 355 ],
+ "I3": [ "0" ],
+ "O": [ 2010 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26204": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 53
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1087 ],
+ "I1": [ 2011 ],
+ "I2": [ 302 ],
+ "I3": [ "0" ],
+ "O": [ 2009 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26205": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 58
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1108 ],
+ "I1": [ 2012 ],
+ "I2": [ 355 ],
+ "I3": [ "0" ],
+ "O": [ 2013 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26206": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 53
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1098 ],
+ "I1": [ 2014 ],
+ "I2": [ 302 ],
+ "I3": [ "0" ],
+ "O": [ 2012 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26207": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 58
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1124 ],
+ "I1": [ 2015 ],
+ "I2": [ 355 ],
+ "I3": [ "0" ],
+ "O": [ 2016 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26208": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 53
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1115 ],
+ "I1": [ 2017 ],
+ "I2": [ 302 ],
+ "I3": [ "0" ],
+ "O": [ 2015 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26209": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 58
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 980 ],
+ "I1": [ 2018 ],
+ "I2": [ 355 ],
+ "I3": [ "0" ],
+ "O": [ 2019 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26210": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 53
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 979 ],
+ "I1": [ 2020 ],
+ "I2": [ 302 ],
+ "I3": [ "0" ],
+ "O": [ 2018 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26211": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 58
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 993 ],
+ "I1": [ 2021 ],
+ "I2": [ 355 ],
+ "I3": [ "0" ],
+ "O": [ 2022 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26212": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 53
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1003 ],
+ "I1": [ 2023 ],
+ "I2": [ 302 ],
+ "I3": [ "0" ],
+ "O": [ 2021 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26213": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 58
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1019 ],
+ "I1": [ 2024 ],
+ "I2": [ 355 ],
+ "I3": [ "0" ],
+ "O": [ 2025 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26214": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 53
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1026 ],
+ "I1": [ 2026 ],
+ "I2": [ 302 ],
+ "I3": [ "0" ],
+ "O": [ 2024 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26215": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 58
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1042 ],
+ "I1": [ 2027 ],
+ "I2": [ 355 ],
+ "I3": [ "0" ],
+ "O": [ 2028 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26216": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 53
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1035 ],
+ "I1": [ 2029 ],
+ "I2": [ 302 ],
+ "I3": [ "0" ],
+ "O": [ 2027 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26217": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 58
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1065 ],
+ "I1": [ 2030 ],
+ "I2": [ 355 ],
+ "I3": [ "0" ],
+ "O": [ 2031 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26218": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 53
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1062 ],
+ "I1": [ 2032 ],
+ "I2": [ 302 ],
+ "I3": [ "0" ],
+ "O": [ 2030 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26219": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 58
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1088 ],
+ "I1": [ 2033 ],
+ "I2": [ 355 ],
+ "I3": [ "0" ],
+ "O": [ 2034 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26220": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 53
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1079 ],
+ "I1": [ 2035 ],
+ "I2": [ 302 ],
+ "I3": [ "0" ],
+ "O": [ 2033 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26221": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 58
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1107 ],
+ "I1": [ 2036 ],
+ "I2": [ 355 ],
+ "I3": [ "0" ],
+ "O": [ 2037 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26222": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 53
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1105 ],
+ "I1": [ 2038 ],
+ "I2": [ 302 ],
+ "I3": [ "0" ],
+ "O": [ 2036 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26223": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 58
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1120 ],
+ "I1": [ 2039 ],
+ "I2": [ 355 ],
+ "I3": [ "0" ],
+ "O": [ 2040 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26224": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 53
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1121 ],
+ "I1": [ 2041 ],
+ "I2": [ 302 ],
+ "I3": [ "0" ],
+ "O": [ 2039 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26225": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 6
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 263 ],
+ "I1": [ 266 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 2042 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26226": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 14
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 2043 ],
+ "I1": [ 389 ],
+ "I2": [ 385 ],
+ "I3": [ "0" ],
+ "O": [ 2044 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26227": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 64
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 107 ],
+ "I1": [ 109 ],
+ "I2": [ 2045 ],
+ "I3": [ "0" ],
+ "O": [ 2046 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26228": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 16
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 107 ],
+ "I1": [ 745 ],
+ "I2": [ 109 ],
+ "I3": [ "0" ],
+ "O": [ 2047 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26229": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 64
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 107 ],
+ "I1": [ 109 ],
+ "I2": [ 2048 ],
+ "I3": [ "0" ],
+ "O": [ 2049 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26230": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 64
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 107 ],
+ "I1": [ 109 ],
+ "I2": [ 2050 ],
+ "I3": [ "0" ],
+ "O": [ 2051 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26231": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 64
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 107 ],
+ "I1": [ 109 ],
+ "I2": [ 2052 ],
+ "I3": [ "0" ],
+ "O": [ 2053 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26232": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 64
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 107 ],
+ "I1": [ 109 ],
+ "I2": [ 2054 ],
+ "I3": [ "0" ],
+ "O": [ 2055 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26233": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 64
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 107 ],
+ "I1": [ 109 ],
+ "I2": [ 2056 ],
+ "I3": [ "0" ],
+ "O": [ 2057 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26234": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 64
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 107 ],
+ "I1": [ 109 ],
+ "I2": [ 2058 ],
+ "I3": [ "0" ],
+ "O": [ 2059 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26235": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 202
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 2060 ],
+ "I1": [ 2061 ],
+ "I2": [ 2062 ],
+ "I3": [ "0" ],
+ "O": [ 2063 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26236": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 64
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 650 ],
+ "I1": [ 726 ],
+ "I2": [ 107 ],
+ "I3": [ "0" ],
+ "O": [ 2062 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26237": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 202
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 2064 ],
+ "I1": [ 2065 ],
+ "I2": [ 2062 ],
+ "I3": [ "0" ],
+ "O": [ 2066 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26238": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 202
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 2067 ],
+ "I1": [ 2068 ],
+ "I2": [ 2062 ],
+ "I3": [ "0" ],
+ "O": [ 2069 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26239": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 202
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 2070 ],
+ "I1": [ 2071 ],
+ "I2": [ 2062 ],
+ "I3": [ "0" ],
+ "O": [ 2072 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26240": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 202
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 2073 ],
+ "I1": [ 2074 ],
+ "I2": [ 2062 ],
+ "I3": [ "0" ],
+ "O": [ 2075 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26241": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 202
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 2076 ],
+ "I1": [ 2077 ],
+ "I2": [ 2062 ],
+ "I3": [ "0" ],
+ "O": [ 2078 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26242": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 202
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 2079 ],
+ "I1": [ 2080 ],
+ "I2": [ 2062 ],
+ "I3": [ "0" ],
+ "O": [ 2081 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26243": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 202
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 2082 ],
+ "I1": [ 2083 ],
+ "I2": [ 2062 ],
+ "I3": [ "0" ],
+ "O": [ 2084 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26244": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 647 ],
+ "I1": [ 2085 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 2086 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26245": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 647 ],
+ "I1": [ 691 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 2087 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26246": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 647 ],
+ "I1": [ 2088 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 2089 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26247": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 647 ],
+ "I1": [ 2090 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 2091 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26248": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 647 ],
+ "I1": [ 2092 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 2093 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26249": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 647 ],
+ "I1": [ 2094 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 2095 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26250": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 647 ],
+ "I1": [ 2096 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 2097 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26251": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 647 ],
+ "I1": [ 2098 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 2099 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26252": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 647 ],
+ "I1": [ 2100 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 2101 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26253": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 647 ],
+ "I1": [ 2102 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 2103 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26254": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 647 ],
+ "I1": [ 2104 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 2105 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26255": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 647 ],
+ "I1": [ 2106 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 2107 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26256": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 647 ],
+ "I1": [ 2108 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 2109 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26257": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 647 ],
+ "I1": [ 2110 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 2111 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26258": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 647 ],
+ "I1": [ 2112 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 2113 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26259": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 647 ],
+ "I1": [ 2114 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 2115 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26260": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 64
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 648 ],
+ "I1": [ 107 ],
+ "I2": [ 9 ],
+ "I3": [ "0" ],
+ "O": [ 2116 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26261": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 64
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 648 ],
+ "I1": [ 107 ],
+ "I2": [ 10 ],
+ "I3": [ "0" ],
+ "O": [ 2117 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26262": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 202
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 2118 ],
+ "I1": [ 2119 ],
+ "I2": [ 2120 ],
+ "I3": [ "0" ],
+ "O": [ 2121 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26263": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 143
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 595 ],
+ "I1": [ 352 ],
+ "I2": [ 1290 ],
+ "I3": [ 1316 ],
+ "O": [ 2120 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26264": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 120
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 2120 ],
+ "I1": [ 2118 ],
+ "I2": [ 2122 ],
+ "I3": [ "0" ],
+ "O": [ 2123 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26265": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 202
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1321 ],
+ "I1": [ 2124 ],
+ "I2": [ 2120 ],
+ "I3": [ "0" ],
+ "O": [ 2125 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26266": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 202
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 2126 ],
+ "I1": [ 2127 ],
+ "I2": [ 2128 ],
+ "I3": [ "0" ],
+ "O": [ 2129 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26267": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 720 ],
+ "I1": [ 708 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 2128 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26268": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 120
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 2128 ],
+ "I1": [ 2126 ],
+ "I2": [ 2130 ],
+ "I3": [ "0" ],
+ "O": [ 2131 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26269": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 202
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 721 ],
+ "I1": [ 2132 ],
+ "I2": [ 2128 ],
+ "I3": [ "0" ],
+ "O": [ 2133 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26270": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 202
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 154 ],
+ "I1": [ 187 ],
+ "I2": [ 153 ],
+ "I3": [ "0" ],
+ "O": [ 2134 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26271": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 120
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 153 ],
+ "I1": [ 154 ],
+ "I2": [ 155 ],
+ "I3": [ "0" ],
+ "O": [ 2135 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26272": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 202
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 190 ],
+ "I1": [ 189 ],
+ "I2": [ 153 ],
+ "I3": [ "0" ],
+ "O": [ 2136 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26273": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 202
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 185 ],
+ "I1": [ 184 ],
+ "I2": [ 153 ],
+ "I3": [ "0" ],
+ "O": [ 2137 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26274": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 202
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 176 ],
+ "I1": [ 2138 ],
+ "I2": [ 153 ],
+ "I3": [ "0" ],
+ "O": [ 2139 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26275": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 64
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1297 ],
+ "I1": [ 272 ],
+ "I2": [ 595 ],
+ "I3": [ "0" ],
+ "O": [ 2140 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26276": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 202
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 188 ],
+ "I1": [ 2141 ],
+ "I2": [ 2142 ],
+ "I3": [ "0" ],
+ "O": [ 2143 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26277": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 64
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1297 ],
+ "I1": [ 272 ],
+ "I2": [ 595 ],
+ "I3": [ "0" ],
+ "O": [ 2142 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26278": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 120
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 2142 ],
+ "I1": [ 188 ],
+ "I2": [ 156 ],
+ "I3": [ "0" ],
+ "O": [ 2144 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26279": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 202
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 191 ],
+ "I1": [ 2145 ],
+ "I2": [ 2142 ],
+ "I3": [ "0" ],
+ "O": [ 2146 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26280": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 202
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 186 ],
+ "I1": [ 2147 ],
+ "I2": [ 2142 ],
+ "I3": [ "0" ],
+ "O": [ 2148 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26281": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 202
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1298 ],
+ "I1": [ 2149 ],
+ "I2": [ 2142 ],
+ "I3": [ "0" ],
+ "O": [ 2150 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26282": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 128
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 855 ],
+ "I1": [ 841 ],
+ "I2": [ 849 ],
+ "I3": [ "0" ],
+ "O": [ 2151 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26283": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 3788
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 2152 ],
+ "I1": [ 2153 ],
+ "I2": [ 2154 ],
+ "I3": [ 514 ],
+ "O": [ 2155 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26284": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 11264
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 2152 ],
+ "I1": [ 2154 ],
+ "I2": [ 2153 ],
+ "I3": [ 514 ],
+ "O": [ 2156 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26285": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 6
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 2135 ],
+ "I1": [ 2134 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 2157 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26286": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 6
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 2135 ],
+ "I1": [ 2136 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 2158 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26287": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 6
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 2137 ],
+ "I1": [ 2136 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 2159 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26288": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 6
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 2137 ],
+ "I1": [ 2139 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 2160 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26289": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 64
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 2130 ],
+ "I1": [ 2126 ],
+ "I2": [ 2128 ],
+ "I3": [ "0" ],
+ "O": [ 2161 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26290": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 64
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 2126 ],
+ "I1": [ 2128 ],
+ "I2": [ 2130 ],
+ "I3": [ "0" ],
+ "O": [ 2162 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26291": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 7
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 2163 ],
+ "I1": [ 2164 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 2165 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26292": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 2166 ],
+ "I1": [ 2167 ],
+ "I2": [ 2168 ],
+ "I3": [ 2169 ],
+ "O": [ 2163 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26293": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 7
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 2170 ],
+ "I1": [ 2171 ],
+ "I2": [ 2172 ],
+ "I3": [ 2173 ],
+ "O": [ 2164 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26294": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 7
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 2174 ],
+ "I1": [ 2175 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 2176 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26295": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 2177 ],
+ "I1": [ 2178 ],
+ "I2": [ 2179 ],
+ "I3": [ 2180 ],
+ "O": [ 2174 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26296": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 7
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 2181 ],
+ "I1": [ 2171 ],
+ "I2": [ 2182 ],
+ "I3": [ 2183 ],
+ "O": [ 2175 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26297": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 7
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 2184 ],
+ "I1": [ 2185 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 2186 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26298": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 2187 ],
+ "I1": [ 2188 ],
+ "I2": [ 2189 ],
+ "I3": [ 2190 ],
+ "O": [ 2184 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26299": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 7
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 2191 ],
+ "I1": [ 2171 ],
+ "I2": [ 2192 ],
+ "I3": [ 2193 ],
+ "O": [ 2185 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26300": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 7
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 2194 ],
+ "I1": [ 2195 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 2196 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26301": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 2197 ],
+ "I1": [ 2198 ],
+ "I2": [ 2199 ],
+ "I3": [ 2200 ],
+ "O": [ 2194 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26302": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 7
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 2201 ],
+ "I1": [ 2171 ],
+ "I2": [ 2202 ],
+ "I3": [ 2203 ],
+ "O": [ 2195 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26303": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 65279
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 2204 ],
+ "I1": [ 2205 ],
+ "I2": [ 2206 ],
+ "I3": [ 2207 ],
+ "O": [ 2208 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26304": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 7
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 2209 ],
+ "I1": [ 2171 ],
+ "I2": [ 2210 ],
+ "I3": [ 2211 ],
+ "O": [ 2207 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26305": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 65279
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 2212 ],
+ "I1": [ 2213 ],
+ "I2": [ 2214 ],
+ "I3": [ 2215 ],
+ "O": [ 2216 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26306": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 7
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 2217 ],
+ "I1": [ 2171 ],
+ "I2": [ 2218 ],
+ "I3": [ 2219 ],
+ "O": [ 2215 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26307": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 65279
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 2220 ],
+ "I1": [ 2221 ],
+ "I2": [ 2222 ],
+ "I3": [ 2223 ],
+ "O": [ 2224 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26308": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 7
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 2225 ],
+ "I1": [ 2171 ],
+ "I2": [ 2226 ],
+ "I3": [ 2227 ],
+ "O": [ 2223 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26309": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 65279
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 2228 ],
+ "I1": [ 2229 ],
+ "I2": [ 2230 ],
+ "I3": [ 2231 ],
+ "O": [ 2232 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26310": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 7
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 2233 ],
+ "I1": [ 2171 ],
+ "I2": [ 2234 ],
+ "I3": [ 2235 ],
+ "O": [ 2231 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26311": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 16
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 2126 ],
+ "I1": [ 2130 ],
+ "I2": [ 2128 ],
+ "I3": [ "0" ],
+ "O": [ 2236 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26312": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 176
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 862 ],
+ "I1": [ 860 ],
+ "I2": [ 588 ],
+ "I3": [ "0" ],
+ "O": [ 2237 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26313": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 64
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 862 ],
+ "I1": [ 860 ],
+ "I2": [ 861 ],
+ "I3": [ "0" ],
+ "O": [ 2238 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26314": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 3056
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 2239 ],
+ "I1": [ 501 ],
+ "I2": [ 1560 ],
+ "I3": [ 500 ],
+ "O": [ 2240 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26315": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 176
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 512 ],
+ "I1": [ 513 ],
+ "I2": [ 827 ],
+ "I3": [ "0" ],
+ "O": [ 2241 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26316": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 6
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 2129 ],
+ "I1": [ 2131 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 2242 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26317": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 6
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 2131 ],
+ "I1": [ 2133 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 2243 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26318": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 6
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 2143 ],
+ "I1": [ 2144 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 2244 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26319": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 6
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 2144 ],
+ "I1": [ 2146 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 2245 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26320": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 6
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 2146 ],
+ "I1": [ 2148 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 2246 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26321": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 6
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 2148 ],
+ "I1": [ 2150 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 2247 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26322": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 6
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 2121 ],
+ "I1": [ 2123 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 2248 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26323": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 6
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 2123 ],
+ "I1": [ 2125 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 2249 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26324": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 128
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 2128 ],
+ "I1": [ 2126 ],
+ "I2": [ 2130 ],
+ "I3": [ "0" ],
+ "O": [ 2250 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26325": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:40"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 30 ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 2251 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26326": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:40"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 466 ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 2252 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26327": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:40"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 467 ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 2253 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26328": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:40"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 468 ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 2254 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26329": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:40"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 469 ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 2255 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26330": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:40"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 470 ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 2256 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26331": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:40"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 471 ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 2257 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26332": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:40"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 165 ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 2258 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26333": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:40"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 87 ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 2259 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26334": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:40"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 740 ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 2260 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26335": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:40"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 31 ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 2261 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26336": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:40"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 32 ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 2262 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26337": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:40"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 33 ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 2263 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26338": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:40"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 26 ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 2264 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26339": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:40"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 27 ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 2265 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26340": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:40"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 28 ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 2266 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26341": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:40"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 29 ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 2267 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26342": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:40"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 22 ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 2268 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26343": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:40"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 23 ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 2269 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26344": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:40"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 24 ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 2270 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26345": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:40"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 25 ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 2271 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26346": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:40"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 719 ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 2272 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26347": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:40"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 370 ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 2273 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26348": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:40"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 266 ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 2274 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26349": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:40"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 2275 ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 2276 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26350": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:40"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 2277 ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 2278 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26351": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:40"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 251 ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 2279 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26352": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:40"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 429 ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 2280 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26353": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:40"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 438 ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 2281 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26354": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:40"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 660 ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 2282 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26355": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:40"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1313 ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 2283 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26356": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:40"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1339 ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 2284 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26357": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:40"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1353 ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 2285 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26358": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:40"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1360 ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 2286 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26359": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:40"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1367 ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 2287 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26360": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:40"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 713 ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 2288 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26361": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:40"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1378 ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 2289 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26362": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:40"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 710 ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 2290 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26363": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:40"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1312 ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 2291 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26364": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:40"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 2292 ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 2293 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26365": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:40"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 803 ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 2294 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26366": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:40"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1355 ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 2295 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26367": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:40"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1362 ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 2296 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26368": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:40"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 800 ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 2297 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26369": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:40"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 807 ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 2298 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26370": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:40"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 808 ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 2299 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26371": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:40"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1385 ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 2300 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26372": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:40"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 2301 ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 2302 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26376": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:40"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 370 ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 2303 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26378": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 202
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 2304 ],
+ "I1": [ 2305 ],
+ "I2": [ 159 ],
+ "I3": [ "0" ],
+ "O": [ 2306 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26379": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 51712
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 2307 ],
+ "I1": [ 2308 ],
+ "I2": [ 159 ],
+ "I3": [ 10 ],
+ "O": [ 2309 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26380": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 61200
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 648 ],
+ "I1": [ 160 ],
+ "I2": [ 9 ],
+ "I3": [ 163 ],
+ "O": [ 2310 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26381": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 46335
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 648 ],
+ "I1": [ 2309 ],
+ "I2": [ 2306 ],
+ "I3": [ 2310 ],
+ "O": [ 2311 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26382": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1792
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 167 ],
+ "I1": [ 2311 ],
+ "I2": [ 175 ],
+ "I3": [ 76 ],
+ "O": [ 153 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26383": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 45067
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 809 ],
+ "I1": [ 1378 ],
+ "I2": [ 1367 ],
+ "I3": [ 801 ],
+ "O": [ 2312 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26384": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 36864
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1313 ],
+ "I1": [ 2313 ],
+ "I2": [ 2312 ],
+ "I3": [ 712 ],
+ "O": [ 2314 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26385": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 45067
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1378 ],
+ "I1": [ 809 ],
+ "I2": [ 1312 ],
+ "I3": [ 2315 ],
+ "O": [ 2316 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26386": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 61557
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 192 ],
+ "I1": [ 2317 ],
+ "I2": [ 640 ],
+ "I3": [ 641 ],
+ "O": [ 2318 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26387": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 61627
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 641 ],
+ "I1": [ 640 ],
+ "I2": [ 2318 ],
+ "I3": [ 639 ],
+ "O": [ 2319 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26388": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 3890
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 588 ],
+ "I1": [ 821 ],
+ "I2": [ 144 ],
+ "I3": [ 824 ],
+ "O": [ 2320 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26389": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 52231
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 823 ],
+ "I1": [ 2320 ],
+ "I2": [ 815 ],
+ "I3": [ 144 ],
+ "O": [ 2321 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26390": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 7
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 2321 ],
+ "I1": [ 147 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 2322 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26391": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 14272
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 516 ],
+ "I1": [ 588 ],
+ "I2": [ 512 ],
+ "I3": [ 515 ],
+ "O": [ 2323 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26392": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 176
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 827 ],
+ "I1": [ 588 ],
+ "I2": [ 2323 ],
+ "I3": [ "0" ],
+ "O": [ 2324 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26393": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 53152
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 561 ],
+ "I1": [ 564 ],
+ "I2": [ 139 ],
+ "I3": [ 138 ],
+ "O": [ 2325 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26394": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 2803
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 514 ],
+ "I1": [ 834 ],
+ "I2": [ 139 ],
+ "I3": [ 140 ],
+ "O": [ 2326 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26395": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 248
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 2326 ],
+ "I1": [ 2325 ],
+ "I2": [ 838 ],
+ "I3": [ "0" ],
+ "O": [ 2327 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26396": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 63624
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 289 ],
+ "I1": [ 1297 ],
+ "I2": [ 2328 ],
+ "I3": [ 292 ],
+ "O": [ 2329 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26397": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 3824
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 2330 ],
+ "I1": [ 500 ],
+ "I2": [ 1558 ],
+ "I3": [ 501 ],
+ "O": [ 2331 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26398": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 5160
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1554 ],
+ "I1": [ 1556 ],
+ "I2": [ 1564 ],
+ "I3": [ 1562 ],
+ "O": [ 2332 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26399": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 32768
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 2331 ],
+ "I1": [ 2332 ],
+ "I2": [ 2333 ],
+ "I3": [ 2240 ],
+ "O": [ 2334 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26400": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 36864
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1339 ],
+ "I1": [ 804 ],
+ "I2": [ 715 ],
+ "I3": [ 2316 ],
+ "O": [ 2335 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26401": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 36873
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1353 ],
+ "I1": [ 2336 ],
+ "I2": [ 1360 ],
+ "I3": [ 2337 ],
+ "O": [ 2338 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26402": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 127
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 2338 ],
+ "I1": [ 2335 ],
+ "I2": [ 2314 ],
+ "I3": [ 2339 ],
+ "O": [ 2340 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26403": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 3587
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 2340 ],
+ "I1": [ 109 ],
+ "I2": [ 720 ],
+ "I3": [ 107 ],
+ "O": [ 707 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26404": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 36873
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1385 ],
+ "I1": [ 711 ],
+ "I2": [ 2301 ],
+ "I3": [ 2315 ],
+ "O": [ 2341 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26405": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 16640
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 802 ],
+ "I1": [ 2313 ],
+ "I2": [ 2292 ],
+ "I3": [ 2341 ],
+ "O": [ 2342 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26406": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 36873
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1355 ],
+ "I1": [ 2336 ],
+ "I2": [ 1362 ],
+ "I3": [ 2337 ],
+ "O": [ 2343 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26407": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 32512
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 2343 ],
+ "I1": [ 806 ],
+ "I2": [ 2342 ],
+ "I3": [ 811 ],
+ "O": [ 799 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26408": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 51712
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 2344 ],
+ "I1": [ 2301 ],
+ "I2": [ 208 ],
+ "I3": [ 209 ],
+ "O": [ 2345 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26409": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1911
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 200 ],
+ "I1": [ 2346 ],
+ "I2": [ 2345 ],
+ "I3": [ 300 ],
+ "O": [ 2347 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26410": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 16
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 1329 ],
+ "I1": [ 1332 ],
+ "I2": [ 216 ],
+ "I3": [ "0" ],
+ "O": [ 2348 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26411": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1792
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 608 ],
+ "I1": [ 2292 ],
+ "I2": [ 2329 ],
+ "I3": [ 2347 ],
+ "O": [ 2349 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26412": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 778
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 2349 ],
+ "I1": [ 2348 ],
+ "I2": [ 1317 ],
+ "I3": [ 276 ],
+ "O": [ 2350 ]
+ }
+ },
+ "$abc$25143$auto$blifparse.cc:492:parse_blif$26413": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 32512
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 2350 ],
+ "I1": [ 1292 ],
+ "I2": [ 1308 ],
+ "I3": [ 597 ],
+ "O": [ 2351 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3934.slice[0].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:1923|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ "1" ],
+ "CO": [ 2352 ],
+ "I0": [ 2313 ],
+ "I1": [ 2293 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3934.slice[1].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:1923|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2352 ],
+ "CO": [ 2353 ],
+ "I0": [ 804 ],
+ "I1": [ 2294 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3934.slice[2].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:1923|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2353 ],
+ "CO": [ 2354 ],
+ "I0": [ 2336 ],
+ "I1": [ 2295 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3934.slice[3].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:1923|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2354 ],
+ "CO": [ 2355 ],
+ "I0": [ 2337 ],
+ "I1": [ 2296 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3934.slice[4].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:1923|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2355 ],
+ "CO": [ 2356 ],
+ "I0": [ 801 ],
+ "I1": [ 2297 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3934.slice[5].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:1923|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2356 ],
+ "CO": [ 2357 ],
+ "I0": [ 714 ],
+ "I1": [ 2298 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3934.slice[6].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:1923|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2357 ],
+ "CO": [ 2358 ],
+ "I0": [ 809 ],
+ "I1": [ 2299 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3934.slice[7].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:1923|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2358 ],
+ "CO": [ 2359 ],
+ "I0": [ 711 ],
+ "I1": [ 2300 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3934.slice[8].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:1923|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2359 ],
+ "CO": [ 810 ],
+ "I0": [ 2315 ],
+ "I1": [ 2302 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3947.slice[0].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:1915|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ "1" ],
+ "CO": [ 2360 ],
+ "I0": [ 2313 ],
+ "I1": [ 2283 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3947.slice[1].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:1915|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2360 ],
+ "CO": [ 2361 ],
+ "I0": [ 804 ],
+ "I1": [ 2284 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3947.slice[2].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:1915|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2361 ],
+ "CO": [ 2362 ],
+ "I0": [ 2336 ],
+ "I1": [ 2285 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3947.slice[3].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:1915|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2362 ],
+ "CO": [ 2363 ],
+ "I0": [ 2337 ],
+ "I1": [ 2286 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3947.slice[4].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:1915|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2363 ],
+ "CO": [ 2364 ],
+ "I0": [ 801 ],
+ "I1": [ 2287 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3947.slice[5].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:1915|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2364 ],
+ "CO": [ 2365 ],
+ "I0": [ 714 ],
+ "I1": [ 2288 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3947.slice[6].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:1915|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2365 ],
+ "CO": [ 2366 ],
+ "I0": [ 809 ],
+ "I1": [ 2289 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3947.slice[7].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:1915|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2366 ],
+ "CO": [ 2367 ],
+ "I0": [ 711 ],
+ "I1": [ 2290 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3947.slice[8].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:1915|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2367 ],
+ "CO": [ 2339 ],
+ "I0": [ 2315 ],
+ "I1": [ 2291 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3960.slice[0].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2582|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "1" ],
+ "I2": [ 731 ],
+ "I3": [ "0" ],
+ "O": [ 2368 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3960.slice[1].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2582|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 731 ],
+ "CO": [ 2369 ],
+ "I0": [ "0" ],
+ "I1": [ 2275 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3960.slice[2].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2582|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 2370 ],
+ "I3": [ 2369 ],
+ "O": [ 2371 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3960.slice[2].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2582|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2369 ],
+ "CO": [ 2372 ],
+ "I0": [ "0" ],
+ "I1": [ 2370 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3960.slice[3].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2582|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 2373 ],
+ "I3": [ 2372 ],
+ "O": [ 2374 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3960.slice[3].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2582|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2372 ],
+ "CO": [ 2375 ],
+ "I0": [ "0" ],
+ "I1": [ 2373 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3960.slice[4].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2582|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 2376 ],
+ "I3": [ 2375 ],
+ "O": [ 2377 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3960.slice[4].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2582|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2375 ],
+ "CO": [ 2378 ],
+ "I0": [ "0" ],
+ "I1": [ 2376 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3960.slice[5].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2582|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 2379 ],
+ "I3": [ 2378 ],
+ "O": [ 2380 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3960.slice[5].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2582|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2378 ],
+ "CO": [ 2381 ],
+ "I0": [ "0" ],
+ "I1": [ 2379 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3960.slice[6].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2582|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 2382 ],
+ "I3": [ 2381 ],
+ "O": [ 2383 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3960.slice[6].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2582|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2381 ],
+ "CO": [ 2384 ],
+ "I0": [ "0" ],
+ "I1": [ 2382 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3960.slice[7].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2582|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 2385 ],
+ "I3": [ 2384 ],
+ "O": [ 2386 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3963.slice[0].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2585|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "1" ],
+ "I2": [ 734 ],
+ "I3": [ "0" ],
+ "O": [ 2387 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3963.slice[1].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2585|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 734 ],
+ "CO": [ 2388 ],
+ "I0": [ "0" ],
+ "I1": [ 2277 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3963.slice[2].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2585|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 2389 ],
+ "I3": [ 2388 ],
+ "O": [ 2390 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3963.slice[2].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2585|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2388 ],
+ "CO": [ 2391 ],
+ "I0": [ "0" ],
+ "I1": [ 2389 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3963.slice[3].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2585|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 2392 ],
+ "I3": [ 2391 ],
+ "O": [ 2393 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3963.slice[3].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2585|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2391 ],
+ "CO": [ 2394 ],
+ "I0": [ "0" ],
+ "I1": [ 2392 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3963.slice[4].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2585|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 2395 ],
+ "I3": [ 2394 ],
+ "O": [ 2396 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3963.slice[4].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2585|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2394 ],
+ "CO": [ 2397 ],
+ "I0": [ "0" ],
+ "I1": [ 2395 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3963.slice[5].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2585|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 2398 ],
+ "I3": [ 2397 ],
+ "O": [ 2399 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3963.slice[5].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2585|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2397 ],
+ "CO": [ 2400 ],
+ "I0": [ "0" ],
+ "I1": [ 2398 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3963.slice[6].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2585|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 2401 ],
+ "I3": [ 2400 ],
+ "O": [ 2402 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3963.slice[6].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2585|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2400 ],
+ "CO": [ 2403 ],
+ "I0": [ "0" ],
+ "I1": [ 2401 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3963.slice[7].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2585|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 2404 ],
+ "I3": [ 2403 ],
+ "O": [ 2405 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3966.slice[0].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2589|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "1" ],
+ "I2": [ 659 ],
+ "I3": [ "0" ],
+ "O": [ 2061 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3966.slice[1].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2589|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 659 ],
+ "CO": [ 2406 ],
+ "I0": [ "0" ],
+ "I1": [ 660 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3966.slice[2].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2589|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 661 ],
+ "I3": [ 2406 ],
+ "O": [ 2065 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3966.slice[2].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2589|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2406 ],
+ "CO": [ 2407 ],
+ "I0": [ "0" ],
+ "I1": [ 661 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3966.slice[3].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2589|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 662 ],
+ "I3": [ 2407 ],
+ "O": [ 2068 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3966.slice[3].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2589|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2407 ],
+ "CO": [ 2408 ],
+ "I0": [ "0" ],
+ "I1": [ 662 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3966.slice[4].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2589|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 655 ],
+ "I3": [ 2408 ],
+ "O": [ 2071 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3966.slice[4].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2589|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2408 ],
+ "CO": [ 2409 ],
+ "I0": [ "0" ],
+ "I1": [ 655 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3966.slice[5].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2589|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 656 ],
+ "I3": [ 2409 ],
+ "O": [ 2074 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3966.slice[5].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2589|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2409 ],
+ "CO": [ 2410 ],
+ "I0": [ "0" ],
+ "I1": [ 656 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3966.slice[6].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2589|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 657 ],
+ "I3": [ 2410 ],
+ "O": [ 2077 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3966.slice[6].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2589|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2410 ],
+ "CO": [ 2411 ],
+ "I0": [ "0" ],
+ "I1": [ 657 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3966.slice[7].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2589|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 658 ],
+ "I3": [ 2411 ],
+ "O": [ 2080 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3966.slice[7].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2589|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2411 ],
+ "CO": [ 2412 ],
+ "I0": [ "0" ],
+ "I1": [ 658 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3966.slice[8].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2589|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 652 ],
+ "I3": [ 2412 ],
+ "O": [ 2083 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3969.slice[0].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "1" ],
+ "I2": [ 219 ],
+ "I3": [ "0" ],
+ "O": [ 2413 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3969.slice[10].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 227 ],
+ "I3": [ 2414 ],
+ "O": [ 2415 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3969.slice[10].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2414 ],
+ "CO": [ 2416 ],
+ "I0": [ "0" ],
+ "I1": [ 227 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3969.slice[11].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 228 ],
+ "I3": [ 2416 ],
+ "O": [ 2417 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3969.slice[11].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2416 ],
+ "CO": [ 2418 ],
+ "I0": [ "0" ],
+ "I1": [ 228 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3969.slice[12].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 229 ],
+ "I3": [ 2418 ],
+ "O": [ 2419 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3969.slice[12].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2418 ],
+ "CO": [ 2420 ],
+ "I0": [ "0" ],
+ "I1": [ 229 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3969.slice[13].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 230 ],
+ "I3": [ 2420 ],
+ "O": [ 2421 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3969.slice[13].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2420 ],
+ "CO": [ 2422 ],
+ "I0": [ "0" ],
+ "I1": [ 230 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3969.slice[14].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 239 ],
+ "I3": [ 2422 ],
+ "O": [ 2423 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3969.slice[14].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2422 ],
+ "CO": [ 2424 ],
+ "I0": [ "0" ],
+ "I1": [ 239 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3969.slice[15].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 240 ],
+ "I3": [ 2424 ],
+ "O": [ 2425 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3969.slice[15].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2424 ],
+ "CO": [ 2426 ],
+ "I0": [ "0" ],
+ "I1": [ 240 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3969.slice[16].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 260 ],
+ "I3": [ 2426 ],
+ "O": [ 2427 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3969.slice[16].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2426 ],
+ "CO": [ 2428 ],
+ "I0": [ "0" ],
+ "I1": [ 260 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3969.slice[17].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 261 ],
+ "I3": [ 2428 ],
+ "O": [ 2429 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3969.slice[17].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2428 ],
+ "CO": [ 2430 ],
+ "I0": [ "0" ],
+ "I1": [ 261 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3969.slice[18].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 254 ],
+ "I3": [ 2430 ],
+ "O": [ 2431 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3969.slice[18].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2430 ],
+ "CO": [ 2432 ],
+ "I0": [ "0" ],
+ "I1": [ 254 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3969.slice[19].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 255 ],
+ "I3": [ 2432 ],
+ "O": [ 2433 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3969.slice[19].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2432 ],
+ "CO": [ 2434 ],
+ "I0": [ "0" ],
+ "I1": [ 255 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3969.slice[1].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 219 ],
+ "CO": [ 2435 ],
+ "I0": [ "0" ],
+ "I1": [ 251 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3969.slice[20].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 256 ],
+ "I3": [ 2434 ],
+ "O": [ 2436 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3969.slice[20].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2434 ],
+ "CO": [ 2437 ],
+ "I0": [ "0" ],
+ "I1": [ 256 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3969.slice[21].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 257 ],
+ "I3": [ 2437 ],
+ "O": [ 2438 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3969.slice[21].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2437 ],
+ "CO": [ 2439 ],
+ "I0": [ "0" ],
+ "I1": [ 257 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3969.slice[22].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 231 ],
+ "I3": [ 2439 ],
+ "O": [ 2440 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3969.slice[22].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2439 ],
+ "CO": [ 2441 ],
+ "I0": [ "0" ],
+ "I1": [ 231 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3969.slice[23].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 232 ],
+ "I3": [ 2441 ],
+ "O": [ 2442 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3969.slice[23].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2441 ],
+ "CO": [ 2443 ],
+ "I0": [ "0" ],
+ "I1": [ 232 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3969.slice[24].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 252 ],
+ "I3": [ 2443 ],
+ "O": [ 2444 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3969.slice[24].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2443 ],
+ "CO": [ 2445 ],
+ "I0": [ "0" ],
+ "I1": [ 252 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3969.slice[25].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 253 ],
+ "I3": [ 2445 ],
+ "O": [ 2446 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3969.slice[25].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2445 ],
+ "CO": [ 2447 ],
+ "I0": [ "0" ],
+ "I1": [ 253 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3969.slice[26].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 247 ],
+ "I3": [ 2447 ],
+ "O": [ 2448 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3969.slice[26].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2447 ],
+ "CO": [ 2449 ],
+ "I0": [ "0" ],
+ "I1": [ 247 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3969.slice[27].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 248 ],
+ "I3": [ 2449 ],
+ "O": [ 2450 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3969.slice[27].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2449 ],
+ "CO": [ 2451 ],
+ "I0": [ "0" ],
+ "I1": [ 248 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3969.slice[28].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 249 ],
+ "I3": [ 2451 ],
+ "O": [ 2452 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3969.slice[28].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2451 ],
+ "CO": [ 2453 ],
+ "I0": [ "0" ],
+ "I1": [ 249 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3969.slice[29].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 250 ],
+ "I3": [ 2453 ],
+ "O": [ 2454 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3969.slice[29].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2453 ],
+ "CO": [ 2455 ],
+ "I0": [ "0" ],
+ "I1": [ 250 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3969.slice[2].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 241 ],
+ "I3": [ 2435 ],
+ "O": [ 2456 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3969.slice[2].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2435 ],
+ "CO": [ 2457 ],
+ "I0": [ "0" ],
+ "I1": [ 241 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3969.slice[30].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 258 ],
+ "I3": [ 2455 ],
+ "O": [ 2458 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3969.slice[30].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2455 ],
+ "CO": [ 2459 ],
+ "I0": [ "0" ],
+ "I1": [ 258 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3969.slice[31].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 259 ],
+ "I3": [ 2459 ],
+ "O": [ 2460 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3969.slice[3].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 242 ],
+ "I3": [ 2457 ],
+ "O": [ 2461 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3969.slice[3].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2457 ],
+ "CO": [ 2462 ],
+ "I0": [ "0" ],
+ "I1": [ 242 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3969.slice[4].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 235 ],
+ "I3": [ 2462 ],
+ "O": [ 2463 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3969.slice[4].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2462 ],
+ "CO": [ 2464 ],
+ "I0": [ "0" ],
+ "I1": [ 235 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3969.slice[5].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 236 ],
+ "I3": [ 2464 ],
+ "O": [ 2465 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3969.slice[5].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2464 ],
+ "CO": [ 2466 ],
+ "I0": [ "0" ],
+ "I1": [ 236 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3969.slice[6].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 237 ],
+ "I3": [ 2466 ],
+ "O": [ 2467 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3969.slice[6].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2466 ],
+ "CO": [ 2468 ],
+ "I0": [ "0" ],
+ "I1": [ 237 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3969.slice[7].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 238 ],
+ "I3": [ 2468 ],
+ "O": [ 2469 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3969.slice[7].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2468 ],
+ "CO": [ 2470 ],
+ "I0": [ "0" ],
+ "I1": [ 238 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3969.slice[8].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 233 ],
+ "I3": [ 2470 ],
+ "O": [ 2471 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3969.slice[8].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2470 ],
+ "CO": [ 2472 ],
+ "I0": [ "0" ],
+ "I1": [ 233 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3969.slice[9].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 234 ],
+ "I3": [ 2472 ],
+ "O": [ 2473 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3969.slice[9].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2472 ],
+ "CO": [ 2414 ],
+ "I0": [ "0" ],
+ "I1": [ 234 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3978.slice[0].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2717|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "1" ],
+ "I2": [ 47 ],
+ "I3": [ "0" ],
+ "O": [ 1932 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3978.slice[1].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2717|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 47 ],
+ "CO": [ 2474 ],
+ "I0": [ "0" ],
+ "I1": [ 49 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3978.slice[2].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2717|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 50 ],
+ "I3": [ 2474 ],
+ "O": [ 1935 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3978.slice[2].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2717|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2474 ],
+ "CO": [ 2475 ],
+ "I0": [ "0" ],
+ "I1": [ 50 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3978.slice[3].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2717|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 51 ],
+ "I3": [ 2475 ],
+ "O": [ 1937 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3978.slice[3].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2717|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2475 ],
+ "CO": [ 2476 ],
+ "I0": [ "0" ],
+ "I1": [ 51 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3978.slice[4].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2717|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 54 ],
+ "I3": [ 2476 ],
+ "O": [ 1939 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3978.slice[4].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2717|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2476 ],
+ "CO": [ 2477 ],
+ "I0": [ "0" ],
+ "I1": [ 54 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3978.slice[5].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2717|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 52 ],
+ "I3": [ 2477 ],
+ "O": [ 1941 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3978.slice[5].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2717|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2477 ],
+ "CO": [ 2478 ],
+ "I0": [ "0" ],
+ "I1": [ 52 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3978.slice[6].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2717|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 53 ],
+ "I3": [ 2478 ],
+ "O": [ 1943 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3978.slice[6].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2717|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2478 ],
+ "CO": [ 2479 ],
+ "I0": [ "0" ],
+ "I1": [ 53 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3978.slice[7].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2717|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 55 ],
+ "I3": [ 2479 ],
+ "O": [ 1945 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3981.slice[0].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2728|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "1" ],
+ "I2": [ 428 ],
+ "I3": [ "0" ],
+ "O": [ 2480 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3981.slice[1].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2728|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 428 ],
+ "CO": [ 2481 ],
+ "I0": [ "0" ],
+ "I1": [ 429 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3981.slice[2].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2728|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 427 ],
+ "I3": [ 2481 ],
+ "O": [ 2482 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3984.slice[0].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2735|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "1" ],
+ "I2": [ 441 ],
+ "I3": [ "0" ],
+ "O": [ 2483 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3984.slice[1].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2735|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 441 ],
+ "CO": [ 2484 ],
+ "I0": [ "0" ],
+ "I1": [ 438 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3984.slice[2].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2735|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 443 ],
+ "I3": [ 2484 ],
+ "O": [ 2485 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3987.slice[0].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2762|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "1" ],
+ "I2": [ 394 ],
+ "I3": [ "0" ],
+ "O": [ 1787 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3987.slice[1].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2762|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 394 ],
+ "CO": [ 2486 ],
+ "I0": [ "0" ],
+ "I1": [ 391 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3987.slice[2].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2762|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 392 ],
+ "I3": [ 2486 ],
+ "O": [ 1790 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3987.slice[2].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2762|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2486 ],
+ "CO": [ 2487 ],
+ "I0": [ "0" ],
+ "I1": [ 392 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3987.slice[3].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2762|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 393 ],
+ "I3": [ 2487 ],
+ "O": [ 1792 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3990.slice[10].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 2488 ],
+ "I3": [ 2489 ],
+ "O": [ 1888 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3990.slice[10].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2489 ],
+ "CO": [ 2490 ],
+ "I0": [ "0" ],
+ "I1": [ 2488 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3990.slice[11].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "1" ],
+ "I2": [ 2491 ],
+ "I3": [ 2490 ],
+ "O": [ 1890 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3990.slice[11].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2490 ],
+ "CO": [ 2492 ],
+ "I0": [ "1" ],
+ "I1": [ 2491 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3990.slice[12].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "1" ],
+ "I2": [ 2493 ],
+ "I3": [ 2492 ],
+ "O": [ 1892 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3990.slice[12].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2492 ],
+ "CO": [ 2494 ],
+ "I0": [ "1" ],
+ "I1": [ 2493 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3990.slice[13].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 2495 ],
+ "I3": [ 2494 ],
+ "O": [ 1894 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3990.slice[13].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2494 ],
+ "CO": [ 2496 ],
+ "I0": [ "0" ],
+ "I1": [ 2495 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3990.slice[14].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "1" ],
+ "I2": [ 2497 ],
+ "I3": [ 2496 ],
+ "O": [ 1896 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3990.slice[14].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2496 ],
+ "CO": [ 2498 ],
+ "I0": [ "1" ],
+ "I1": [ 2497 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3990.slice[15].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "1" ],
+ "I2": [ 2499 ],
+ "I3": [ 2498 ],
+ "O": [ 1898 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3990.slice[15].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2498 ],
+ "CO": [ 2500 ],
+ "I0": [ "1" ],
+ "I1": [ 2499 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3990.slice[16].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "1" ],
+ "I2": [ 2501 ],
+ "I3": [ 2500 ],
+ "O": [ 1900 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3990.slice[16].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2500 ],
+ "CO": [ 2502 ],
+ "I0": [ "1" ],
+ "I1": [ 2501 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3990.slice[17].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "1" ],
+ "I2": [ 2503 ],
+ "I3": [ 2502 ],
+ "O": [ 1902 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3990.slice[17].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2502 ],
+ "CO": [ 2504 ],
+ "I0": [ "1" ],
+ "I1": [ 2503 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3990.slice[18].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "1" ],
+ "I2": [ 2505 ],
+ "I3": [ 2504 ],
+ "O": [ 1904 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3990.slice[18].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2504 ],
+ "CO": [ 2506 ],
+ "I0": [ "1" ],
+ "I1": [ 2505 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3990.slice[19].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 2507 ],
+ "I3": [ 2506 ],
+ "O": [ 1906 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3990.slice[19].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2506 ],
+ "CO": [ 2508 ],
+ "I0": [ "0" ],
+ "I1": [ 2507 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3990.slice[20].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "1" ],
+ "I2": [ 2509 ],
+ "I3": [ 2508 ],
+ "O": [ 1908 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3990.slice[20].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2508 ],
+ "CO": [ 2510 ],
+ "I0": [ "1" ],
+ "I1": [ 2509 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3990.slice[21].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 2511 ],
+ "I3": [ 2510 ],
+ "O": [ 1910 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3990.slice[21].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2510 ],
+ "CO": [ 2512 ],
+ "I0": [ "0" ],
+ "I1": [ 2511 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3990.slice[22].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "1" ],
+ "I2": [ 2513 ],
+ "I3": [ 2512 ],
+ "O": [ 1912 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3990.slice[22].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2512 ],
+ "CO": [ 2514 ],
+ "I0": [ "1" ],
+ "I1": [ 2513 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3990.slice[23].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "1" ],
+ "I2": [ 2515 ],
+ "I3": [ 2514 ],
+ "O": [ 1914 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3990.slice[23].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2514 ],
+ "CO": [ 2516 ],
+ "I0": [ "1" ],
+ "I1": [ 2515 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3990.slice[24].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "1" ],
+ "I2": [ 2517 ],
+ "I3": [ 2516 ],
+ "O": [ 1916 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3990.slice[24].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2516 ],
+ "CO": [ 2518 ],
+ "I0": [ "1" ],
+ "I1": [ 2517 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3990.slice[25].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 2519 ],
+ "I3": [ 2518 ],
+ "O": [ 1918 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3990.slice[25].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2518 ],
+ "CO": [ 2520 ],
+ "I0": [ "0" ],
+ "I1": [ 2519 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3990.slice[26].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 2521 ],
+ "I3": [ 2520 ],
+ "O": [ 1920 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3990.slice[26].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2520 ],
+ "CO": [ 2522 ],
+ "I0": [ "0" ],
+ "I1": [ 2521 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3990.slice[27].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 2523 ],
+ "I3": [ 2522 ],
+ "O": [ 1922 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3990.slice[27].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2522 ],
+ "CO": [ 2524 ],
+ "I0": [ "0" ],
+ "I1": [ 2523 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3990.slice[28].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 2525 ],
+ "I3": [ 2524 ],
+ "O": [ 1924 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3990.slice[28].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2524 ],
+ "CO": [ 2526 ],
+ "I0": [ "0" ],
+ "I1": [ 2525 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3990.slice[29].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 2527 ],
+ "I3": [ 2526 ],
+ "O": [ 1926 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3990.slice[29].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2526 ],
+ "CO": [ 2528 ],
+ "I0": [ "0" ],
+ "I1": [ 2527 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3990.slice[30].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 2529 ],
+ "I3": [ 2528 ],
+ "O": [ 1928 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3990.slice[30].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2528 ],
+ "CO": [ 2530 ],
+ "I0": [ "0" ],
+ "I1": [ 2529 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3990.slice[31].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 2531 ],
+ "I3": [ 2530 ],
+ "O": [ 1930 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3990.slice[31].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2530 ],
+ "CO": [ 2532 ],
+ "I0": [ "0" ],
+ "I1": [ 2531 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3990.slice[32].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ 2532 ],
+ "O": [ 1727 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3990.slice[3].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 381 ],
+ "CO": [ 2533 ],
+ "I0": [ "0" ],
+ "I1": [ 1874 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3990.slice[4].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "1" ],
+ "I2": [ 2534 ],
+ "I3": [ 2533 ],
+ "O": [ 1876 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3990.slice[4].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2533 ],
+ "CO": [ 2535 ],
+ "I0": [ "1" ],
+ "I1": [ 2534 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3990.slice[5].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "1" ],
+ "I2": [ 2536 ],
+ "I3": [ 2535 ],
+ "O": [ 1878 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3990.slice[5].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2535 ],
+ "CO": [ 2537 ],
+ "I0": [ "1" ],
+ "I1": [ 2536 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3990.slice[6].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "1" ],
+ "I2": [ 2538 ],
+ "I3": [ 2537 ],
+ "O": [ 1880 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3990.slice[6].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2537 ],
+ "CO": [ 2539 ],
+ "I0": [ "1" ],
+ "I1": [ 2538 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3990.slice[7].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "1" ],
+ "I2": [ 2540 ],
+ "I3": [ 2539 ],
+ "O": [ 1882 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3990.slice[7].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2539 ],
+ "CO": [ 2541 ],
+ "I0": [ "1" ],
+ "I1": [ 2540 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3990.slice[8].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "1" ],
+ "I2": [ 2542 ],
+ "I3": [ 2541 ],
+ "O": [ 1884 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3990.slice[8].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2541 ],
+ "CO": [ 2543 ],
+ "I0": [ "1" ],
+ "I1": [ 2542 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3990.slice[9].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "1" ],
+ "I2": [ 2544 ],
+ "I3": [ 2543 ],
+ "O": [ 1886 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3990.slice[9].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2543 ],
+ "CO": [ 2489 ],
+ "I0": [ "1" ],
+ "I1": [ 2544 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3993.slice[0].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2791|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "1" ],
+ "I2": [ 406 ],
+ "I3": [ "0" ],
+ "O": [ 1720 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3993.slice[1].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2791|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 406 ],
+ "CO": [ 2545 ],
+ "I0": [ "0" ],
+ "I1": [ 404 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3993.slice[2].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2791|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 405 ],
+ "I3": [ 2545 ],
+ "O": [ 1723 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3993.slice[2].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2791|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2545 ],
+ "CO": [ 2546 ],
+ "I0": [ "0" ],
+ "I1": [ 405 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3993.slice[3].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2791|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 407 ],
+ "I3": [ 2546 ],
+ "O": [ 1725 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3996.slice[10].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 2547 ],
+ "I3": [ 2548 ],
+ "O": [ 1743 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3996.slice[10].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2548 ],
+ "CO": [ 2549 ],
+ "I0": [ "0" ],
+ "I1": [ 2547 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3996.slice[11].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "1" ],
+ "I2": [ 2550 ],
+ "I3": [ 2549 ],
+ "O": [ 1745 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3996.slice[11].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2549 ],
+ "CO": [ 2551 ],
+ "I0": [ "1" ],
+ "I1": [ 2550 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3996.slice[12].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "1" ],
+ "I2": [ 2552 ],
+ "I3": [ 2551 ],
+ "O": [ 1747 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3996.slice[12].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2551 ],
+ "CO": [ 2553 ],
+ "I0": [ "1" ],
+ "I1": [ 2552 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3996.slice[13].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 2554 ],
+ "I3": [ 2553 ],
+ "O": [ 1749 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3996.slice[13].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2553 ],
+ "CO": [ 2555 ],
+ "I0": [ "0" ],
+ "I1": [ 2554 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3996.slice[14].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "1" ],
+ "I2": [ 2556 ],
+ "I3": [ 2555 ],
+ "O": [ 1751 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3996.slice[14].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2555 ],
+ "CO": [ 2557 ],
+ "I0": [ "1" ],
+ "I1": [ 2556 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3996.slice[15].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "1" ],
+ "I2": [ 2558 ],
+ "I3": [ 2557 ],
+ "O": [ 1753 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3996.slice[15].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2557 ],
+ "CO": [ 2559 ],
+ "I0": [ "1" ],
+ "I1": [ 2558 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3996.slice[16].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "1" ],
+ "I2": [ 2560 ],
+ "I3": [ 2559 ],
+ "O": [ 1755 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3996.slice[16].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2559 ],
+ "CO": [ 2561 ],
+ "I0": [ "1" ],
+ "I1": [ 2560 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3996.slice[17].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "1" ],
+ "I2": [ 2562 ],
+ "I3": [ 2561 ],
+ "O": [ 1757 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3996.slice[17].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2561 ],
+ "CO": [ 2563 ],
+ "I0": [ "1" ],
+ "I1": [ 2562 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3996.slice[18].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "1" ],
+ "I2": [ 2564 ],
+ "I3": [ 2563 ],
+ "O": [ 1759 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3996.slice[18].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2563 ],
+ "CO": [ 2565 ],
+ "I0": [ "1" ],
+ "I1": [ 2564 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3996.slice[19].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 2566 ],
+ "I3": [ 2565 ],
+ "O": [ 1761 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3996.slice[19].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2565 ],
+ "CO": [ 2567 ],
+ "I0": [ "0" ],
+ "I1": [ 2566 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3996.slice[20].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "1" ],
+ "I2": [ 2568 ],
+ "I3": [ 2567 ],
+ "O": [ 1763 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3996.slice[20].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2567 ],
+ "CO": [ 2569 ],
+ "I0": [ "1" ],
+ "I1": [ 2568 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3996.slice[21].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 2570 ],
+ "I3": [ 2569 ],
+ "O": [ 1765 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3996.slice[21].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2569 ],
+ "CO": [ 2571 ],
+ "I0": [ "0" ],
+ "I1": [ 2570 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3996.slice[22].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "1" ],
+ "I2": [ 2572 ],
+ "I3": [ 2571 ],
+ "O": [ 1767 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3996.slice[22].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2571 ],
+ "CO": [ 2573 ],
+ "I0": [ "1" ],
+ "I1": [ 2572 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3996.slice[23].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "1" ],
+ "I2": [ 2574 ],
+ "I3": [ 2573 ],
+ "O": [ 1769 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3996.slice[23].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2573 ],
+ "CO": [ 2575 ],
+ "I0": [ "1" ],
+ "I1": [ 2574 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3996.slice[24].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "1" ],
+ "I2": [ 2576 ],
+ "I3": [ 2575 ],
+ "O": [ 1771 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3996.slice[24].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2575 ],
+ "CO": [ 2577 ],
+ "I0": [ "1" ],
+ "I1": [ 2576 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3996.slice[25].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 2578 ],
+ "I3": [ 2577 ],
+ "O": [ 1773 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3996.slice[25].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2577 ],
+ "CO": [ 2579 ],
+ "I0": [ "0" ],
+ "I1": [ 2578 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3996.slice[26].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 2580 ],
+ "I3": [ 2579 ],
+ "O": [ 1775 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3996.slice[26].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2579 ],
+ "CO": [ 2581 ],
+ "I0": [ "0" ],
+ "I1": [ 2580 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3996.slice[27].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 2582 ],
+ "I3": [ 2581 ],
+ "O": [ 1777 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3996.slice[27].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2581 ],
+ "CO": [ 2583 ],
+ "I0": [ "0" ],
+ "I1": [ 2582 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3996.slice[28].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 2584 ],
+ "I3": [ 2583 ],
+ "O": [ 1779 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3996.slice[28].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2583 ],
+ "CO": [ 2585 ],
+ "I0": [ "0" ],
+ "I1": [ 2584 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3996.slice[29].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 2586 ],
+ "I3": [ 2585 ],
+ "O": [ 1781 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3996.slice[29].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2585 ],
+ "CO": [ 2587 ],
+ "I0": [ "0" ],
+ "I1": [ 2586 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3996.slice[30].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 2588 ],
+ "I3": [ 2587 ],
+ "O": [ 1783 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3996.slice[30].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2587 ],
+ "CO": [ 2589 ],
+ "I0": [ "0" ],
+ "I1": [ 2588 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3996.slice[31].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 2590 ],
+ "I3": [ 2589 ],
+ "O": [ 1785 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3996.slice[31].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2589 ],
+ "CO": [ 2591 ],
+ "I0": [ "0" ],
+ "I1": [ 2590 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3996.slice[32].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ 2591 ],
+ "O": [ 1518 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3996.slice[3].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 410 ],
+ "CO": [ 2592 ],
+ "I0": [ "0" ],
+ "I1": [ 1729 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3996.slice[4].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "1" ],
+ "I2": [ 2593 ],
+ "I3": [ 2592 ],
+ "O": [ 1731 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3996.slice[4].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2592 ],
+ "CO": [ 2594 ],
+ "I0": [ "1" ],
+ "I1": [ 2593 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3996.slice[5].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "1" ],
+ "I2": [ 2595 ],
+ "I3": [ 2594 ],
+ "O": [ 1733 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3996.slice[5].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2594 ],
+ "CO": [ 2596 ],
+ "I0": [ "1" ],
+ "I1": [ 2595 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3996.slice[6].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "1" ],
+ "I2": [ 2597 ],
+ "I3": [ 2596 ],
+ "O": [ 1735 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3996.slice[6].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2596 ],
+ "CO": [ 2598 ],
+ "I0": [ "1" ],
+ "I1": [ 2597 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3996.slice[7].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "1" ],
+ "I2": [ 2599 ],
+ "I3": [ 2598 ],
+ "O": [ 1737 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3996.slice[7].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2598 ],
+ "CO": [ 2600 ],
+ "I0": [ "1" ],
+ "I1": [ 2599 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3996.slice[8].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "1" ],
+ "I2": [ 2601 ],
+ "I3": [ 2600 ],
+ "O": [ 1739 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3996.slice[8].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2600 ],
+ "CO": [ 2602 ],
+ "I0": [ "1" ],
+ "I1": [ 2601 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3996.slice[9].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "1" ],
+ "I2": [ 2603 ],
+ "I3": [ 2602 ],
+ "O": [ 1741 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3996.slice[9].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2602 ],
+ "CO": [ 2548 ],
+ "I0": [ "1" ],
+ "I1": [ 2603 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4002.slice[0].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:988|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 441 ],
+ "I2": [ 2604 ],
+ "I3": [ "0" ],
+ "O": [ 1846 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4002.slice[0].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:988|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ "0" ],
+ "CO": [ 2605 ],
+ "I0": [ 441 ],
+ "I1": [ 2604 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4002.slice[10].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:988|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 2606 ],
+ "I3": [ 2607 ],
+ "O": [ 1866 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4002.slice[10].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:988|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2607 ],
+ "CO": [ 2608 ],
+ "I0": [ "0" ],
+ "I1": [ 2606 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4002.slice[11].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:988|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 2609 ],
+ "I3": [ 2608 ],
+ "O": [ 1868 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4002.slice[11].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:988|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2608 ],
+ "CO": [ 2610 ],
+ "I0": [ "0" ],
+ "I1": [ 2609 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4002.slice[12].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:988|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 2611 ],
+ "I3": [ 2610 ],
+ "O": [ 1870 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4002.slice[12].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:988|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2610 ],
+ "CO": [ 2612 ],
+ "I0": [ "0" ],
+ "I1": [ 2611 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4002.slice[13].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:988|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 2613 ],
+ "I3": [ 2612 ],
+ "O": [ 1872 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4002.slice[13].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:988|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2612 ],
+ "CO": [ 2614 ],
+ "I0": [ "0" ],
+ "I1": [ 2613 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4002.slice[14].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:988|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 2615 ],
+ "I3": [ 2614 ],
+ "O": [ 936 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4002.slice[14].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:988|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2614 ],
+ "CO": [ 2616 ],
+ "I0": [ "0" ],
+ "I1": [ 2615 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4002.slice[15].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:988|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 2617 ],
+ "I3": [ 2616 ],
+ "O": [ 939 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4002.slice[15].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:988|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2616 ],
+ "CO": [ 2618 ],
+ "I0": [ "0" ],
+ "I1": [ 2617 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4002.slice[16].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:988|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 2619 ],
+ "I3": [ 2618 ],
+ "O": [ 942 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4002.slice[16].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:988|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2618 ],
+ "CO": [ 2620 ],
+ "I0": [ "0" ],
+ "I1": [ 2619 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4002.slice[17].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:988|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 2621 ],
+ "I3": [ 2620 ],
+ "O": [ 945 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4002.slice[17].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:988|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2620 ],
+ "CO": [ 2622 ],
+ "I0": [ "0" ],
+ "I1": [ 2621 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4002.slice[18].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:988|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 2623 ],
+ "I3": [ 2622 ],
+ "O": [ 948 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4002.slice[18].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:988|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2622 ],
+ "CO": [ 2624 ],
+ "I0": [ "0" ],
+ "I1": [ 2623 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4002.slice[19].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:988|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 2625 ],
+ "I3": [ 2624 ],
+ "O": [ 951 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4002.slice[19].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:988|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2624 ],
+ "CO": [ 2626 ],
+ "I0": [ "0" ],
+ "I1": [ 2625 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4002.slice[1].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:988|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 438 ],
+ "I2": [ 2627 ],
+ "I3": [ 2605 ],
+ "O": [ 1848 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4002.slice[1].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:988|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2605 ],
+ "CO": [ 2628 ],
+ "I0": [ 438 ],
+ "I1": [ 2627 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4002.slice[20].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:988|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 2629 ],
+ "I3": [ 2626 ],
+ "O": [ 954 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4002.slice[20].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:988|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2626 ],
+ "CO": [ 2630 ],
+ "I0": [ "0" ],
+ "I1": [ 2629 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4002.slice[21].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:988|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 2631 ],
+ "I3": [ 2630 ],
+ "O": [ 957 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4002.slice[21].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:988|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2630 ],
+ "CO": [ 2632 ],
+ "I0": [ "0" ],
+ "I1": [ 2631 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4002.slice[22].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:988|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2632 ],
+ "CO": [ 2633 ],
+ "I0": [ "0" ],
+ "I1": [ 2634 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4002.slice[23].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:988|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2633 ],
+ "CO": [ 2635 ],
+ "I0": [ "0" ],
+ "I1": [ 2636 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4002.slice[24].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:988|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2635 ],
+ "CO": [ 2637 ],
+ "I0": [ "0" ],
+ "I1": [ 2638 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4002.slice[25].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:988|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2637 ],
+ "CO": [ 2639 ],
+ "I0": [ "0" ],
+ "I1": [ 2640 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4002.slice[26].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:988|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 2641 ],
+ "I3": [ 2639 ],
+ "O": [ 268 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4002.slice[26].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:988|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2639 ],
+ "CO": [ 2642 ],
+ "I0": [ "0" ],
+ "I1": [ 2641 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4002.slice[27].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:988|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 2643 ],
+ "I3": [ 2642 ],
+ "O": [ 270 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4002.slice[27].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:988|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2642 ],
+ "CO": [ 2644 ],
+ "I0": [ "0" ],
+ "I1": [ 2643 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4002.slice[28].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:988|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 2645 ],
+ "I3": [ 2644 ],
+ "O": [ 269 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4002.slice[2].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:988|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 443 ],
+ "I2": [ 2646 ],
+ "I3": [ 2628 ],
+ "O": [ 1850 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4002.slice[2].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:988|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2628 ],
+ "CO": [ 2647 ],
+ "I0": [ 443 ],
+ "I1": [ 2646 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4002.slice[3].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:988|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 2648 ],
+ "I3": [ 2647 ],
+ "O": [ 1852 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4002.slice[3].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:988|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2647 ],
+ "CO": [ 2649 ],
+ "I0": [ "0" ],
+ "I1": [ 2648 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4002.slice[4].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:988|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 2650 ],
+ "I3": [ 2649 ],
+ "O": [ 1854 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4002.slice[4].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:988|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2649 ],
+ "CO": [ 2651 ],
+ "I0": [ "0" ],
+ "I1": [ 2650 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4002.slice[5].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:988|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 2652 ],
+ "I3": [ 2651 ],
+ "O": [ 1856 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4002.slice[5].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:988|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2651 ],
+ "CO": [ 2653 ],
+ "I0": [ "0" ],
+ "I1": [ 2652 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4002.slice[6].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:988|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 2654 ],
+ "I3": [ 2653 ],
+ "O": [ 1858 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4002.slice[6].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:988|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2653 ],
+ "CO": [ 2655 ],
+ "I0": [ "0" ],
+ "I1": [ 2654 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4002.slice[7].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:988|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 2656 ],
+ "I3": [ 2655 ],
+ "O": [ 1860 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4002.slice[7].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:988|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2655 ],
+ "CO": [ 2657 ],
+ "I0": [ "0" ],
+ "I1": [ 2656 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4002.slice[8].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:988|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 2658 ],
+ "I3": [ 2657 ],
+ "O": [ 1862 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4002.slice[8].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:988|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2657 ],
+ "CO": [ 2659 ],
+ "I0": [ "0" ],
+ "I1": [ 2658 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4002.slice[9].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:988|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 2660 ],
+ "I3": [ 2659 ],
+ "O": [ 1864 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4002.slice[9].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:988|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2659 ],
+ "CO": [ 2607 ],
+ "I0": [ "0" ],
+ "I1": [ 2660 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4005.slice[0].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:1786|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "1" ],
+ "I2": [ 188 ],
+ "I3": [ "0" ],
+ "O": [ 2141 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4005.slice[1].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:1786|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 188 ],
+ "CO": [ 2661 ],
+ "I0": [ "0" ],
+ "I1": [ 156 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4005.slice[2].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:1786|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 191 ],
+ "I3": [ 2661 ],
+ "O": [ 2145 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4005.slice[2].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:1786|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2661 ],
+ "CO": [ 2662 ],
+ "I0": [ "0" ],
+ "I1": [ 191 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4005.slice[3].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:1786|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 186 ],
+ "I3": [ 2662 ],
+ "O": [ 2147 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4005.slice[3].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:1786|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2662 ],
+ "CO": [ 2663 ],
+ "I0": [ "0" ],
+ "I1": [ 186 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4005.slice[4].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:1786|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 1298 ],
+ "I3": [ 2663 ],
+ "O": [ 2149 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4008.slice[0].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:1795|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "1" ],
+ "I2": [ 154 ],
+ "I3": [ "0" ],
+ "O": [ 187 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4008.slice[1].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:1795|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 154 ],
+ "CO": [ 2664 ],
+ "I0": [ "0" ],
+ "I1": [ 155 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4008.slice[2].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:1795|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 190 ],
+ "I3": [ 2664 ],
+ "O": [ 189 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4008.slice[2].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:1795|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2664 ],
+ "CO": [ 2665 ],
+ "I0": [ "0" ],
+ "I1": [ 190 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4008.slice[3].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:1795|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 185 ],
+ "I3": [ 2665 ],
+ "O": [ 184 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4008.slice[3].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:1795|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2665 ],
+ "CO": [ 2666 ],
+ "I0": [ "0" ],
+ "I1": [ 185 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4008.slice[4].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:1795|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 176 ],
+ "I3": [ 2666 ],
+ "O": [ 2138 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4011.slice[0].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:1825|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 708 ],
+ "I2": [ 659 ],
+ "I3": [ "0" ],
+ "O": [ 2313 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4011.slice[0].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:1825|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ "0" ],
+ "CO": [ 2667 ],
+ "I0": [ 708 ],
+ "I1": [ 659 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4011.slice[1].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:1825|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 660 ],
+ "I3": [ 2667 ],
+ "O": [ 804 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4011.slice[1].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:1825|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2667 ],
+ "CO": [ 2668 ],
+ "I0": [ "0" ],
+ "I1": [ 660 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4011.slice[2].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:1825|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 661 ],
+ "I3": [ 2668 ],
+ "O": [ 2336 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4011.slice[2].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:1825|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2668 ],
+ "CO": [ 2669 ],
+ "I0": [ "0" ],
+ "I1": [ 661 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4011.slice[3].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:1825|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 662 ],
+ "I3": [ 2669 ],
+ "O": [ 2337 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4011.slice[3].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:1825|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2669 ],
+ "CO": [ 2670 ],
+ "I0": [ "0" ],
+ "I1": [ 662 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4011.slice[4].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:1825|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 655 ],
+ "I3": [ 2670 ],
+ "O": [ 801 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4011.slice[4].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:1825|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2670 ],
+ "CO": [ 2671 ],
+ "I0": [ "0" ],
+ "I1": [ 655 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4011.slice[5].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:1825|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 656 ],
+ "I3": [ 2671 ],
+ "O": [ 714 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4011.slice[5].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:1825|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2671 ],
+ "CO": [ 2672 ],
+ "I0": [ "0" ],
+ "I1": [ 656 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4011.slice[6].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:1825|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 657 ],
+ "I3": [ 2672 ],
+ "O": [ 809 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4011.slice[6].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:1825|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2672 ],
+ "CO": [ 2673 ],
+ "I0": [ "0" ],
+ "I1": [ 657 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4011.slice[7].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:1825|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 658 ],
+ "I3": [ 2673 ],
+ "O": [ 711 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4011.slice[7].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:1825|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2673 ],
+ "CO": [ 2674 ],
+ "I0": [ "0" ],
+ "I1": [ 658 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4011.slice[8].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:1825|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 652 ],
+ "I3": [ 2674 ],
+ "O": [ 2315 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4014.slice[0].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:1866|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "1" ],
+ "I2": [ 2126 ],
+ "I3": [ "0" ],
+ "O": [ 2127 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4014.slice[1].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:1866|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2126 ],
+ "CO": [ 2675 ],
+ "I0": [ "0" ],
+ "I1": [ 2130 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4014.slice[2].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:1866|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 721 ],
+ "I3": [ 2675 ],
+ "O": [ 2132 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4017.slice[0].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:1875|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "1" ],
+ "I2": [ 2118 ],
+ "I3": [ "0" ],
+ "O": [ 2119 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4017.slice[1].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:1875|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2118 ],
+ "CO": [ 2676 ],
+ "I0": [ "0" ],
+ "I1": [ 2122 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4017.slice[2].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:1875|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 1321 ],
+ "I3": [ 2676 ],
+ "O": [ 2124 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4020.slice[0].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2569|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "1" ],
+ "I2": [ 703 ],
+ "I3": [ "0" ],
+ "O": [ 2085 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4020.slice[10].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2569|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 705 ],
+ "I3": [ 2677 ],
+ "O": [ 2104 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4020.slice[10].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2569|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2677 ],
+ "CO": [ 2678 ],
+ "I0": [ "0" ],
+ "I1": [ 705 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4020.slice[11].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2569|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 683 ],
+ "I3": [ 2678 ],
+ "O": [ 2106 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4020.slice[11].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2569|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2678 ],
+ "CO": [ 2679 ],
+ "I0": [ "0" ],
+ "I1": [ 683 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4020.slice[12].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2569|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 675 ],
+ "I3": [ 2679 ],
+ "O": [ 2108 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4020.slice[12].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2569|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2679 ],
+ "CO": [ 2680 ],
+ "I0": [ "0" ],
+ "I1": [ 675 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4020.slice[13].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2569|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 681 ],
+ "I3": [ 2680 ],
+ "O": [ 2110 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4020.slice[13].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2569|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2680 ],
+ "CO": [ 2681 ],
+ "I0": [ "0" ],
+ "I1": [ 681 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4020.slice[14].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2569|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 685 ],
+ "I3": [ 2681 ],
+ "O": [ 2112 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4020.slice[14].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2569|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2681 ],
+ "CO": [ 2682 ],
+ "I0": [ "0" ],
+ "I1": [ 685 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4020.slice[15].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2569|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 701 ],
+ "I3": [ 2682 ],
+ "O": [ 2114 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4020.slice[1].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2569|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 703 ],
+ "CO": [ 2683 ],
+ "I0": [ "0" ],
+ "I1": [ 691 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4020.slice[2].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2569|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 695 ],
+ "I3": [ 2683 ],
+ "O": [ 2088 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4020.slice[2].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2569|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2683 ],
+ "CO": [ 2684 ],
+ "I0": [ "0" ],
+ "I1": [ 695 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4020.slice[3].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2569|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 669 ],
+ "I3": [ 2684 ],
+ "O": [ 2090 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4020.slice[3].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2569|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2684 ],
+ "CO": [ 2685 ],
+ "I0": [ "0" ],
+ "I1": [ 669 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4020.slice[4].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2569|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 673 ],
+ "I3": [ 2685 ],
+ "O": [ 2092 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4020.slice[4].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2569|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2685 ],
+ "CO": [ 2686 ],
+ "I0": [ "0" ],
+ "I1": [ 673 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4020.slice[5].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2569|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 693 ],
+ "I3": [ 2686 ],
+ "O": [ 2094 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4020.slice[5].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2569|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2686 ],
+ "CO": [ 2687 ],
+ "I0": [ "0" ],
+ "I1": [ 693 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4020.slice[6].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2569|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 671 ],
+ "I3": [ 2687 ],
+ "O": [ 2096 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4020.slice[6].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2569|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2687 ],
+ "CO": [ 2688 ],
+ "I0": [ "0" ],
+ "I1": [ 671 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4020.slice[7].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2569|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 679 ],
+ "I3": [ 2688 ],
+ "O": [ 2098 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4020.slice[7].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2569|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2688 ],
+ "CO": [ 2689 ],
+ "I0": [ "0" ],
+ "I1": [ 679 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4020.slice[8].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2569|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 697 ],
+ "I3": [ 2689 ],
+ "O": [ 2100 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4020.slice[8].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2569|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2689 ],
+ "CO": [ 2690 ],
+ "I0": [ "0" ],
+ "I1": [ 697 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4020.slice[9].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2569|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 699 ],
+ "I3": [ 2690 ],
+ "O": [ 2102 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4020.slice[9].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2569|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2690 ],
+ "CO": [ 2677 ],
+ "I0": [ "0" ],
+ "I1": [ 699 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4023.slice[0].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:1066|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 440 ],
+ "I2": [ "0" ],
+ "I3": [ "1" ],
+ "O": [ 442 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4023.slice[1].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:1066|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 440 ],
+ "CO": [ 2691 ],
+ "I0": [ 439 ],
+ "I1": [ "1" ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4023.slice[2].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:1066|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 2692 ],
+ "I2": [ "1" ],
+ "I3": [ 2691 ],
+ "O": [ 444 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4023.slice[2].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:1066|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2691 ],
+ "CO": [ 2693 ],
+ "I0": [ 2692 ],
+ "I1": [ "1" ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4023.slice[3].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:1066|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 2694 ],
+ "I2": [ "1" ],
+ "I3": [ 2693 ],
+ "O": [ 449 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4023.slice[3].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:1066|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2693 ],
+ "CO": [ 2695 ],
+ "I0": [ 2694 ],
+ "I1": [ "1" ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4023.slice[4].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:1066|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 2696 ],
+ "I2": [ "1" ],
+ "I3": [ 2695 ],
+ "O": [ 448 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4023.slice[4].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:1066|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2695 ],
+ "CO": [ 2697 ],
+ "I0": [ 2696 ],
+ "I1": [ "1" ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4023.slice[5].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:1066|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 2698 ],
+ "I2": [ "1" ],
+ "I3": [ 2697 ],
+ "O": [ 445 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4023.slice[5].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:1066|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2697 ],
+ "CO": [ 2699 ],
+ "I0": [ 2698 ],
+ "I1": [ "1" ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4023.slice[6].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:1066|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 2700 ],
+ "I2": [ "1" ],
+ "I3": [ 2699 ],
+ "O": [ 446 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4023.slice[6].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:1066|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2699 ],
+ "CO": [ 2701 ],
+ "I0": [ 2700 ],
+ "I1": [ "1" ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4023.slice[7].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:1066|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 2702 ],
+ "I2": [ "1" ],
+ "I3": [ 2701 ],
+ "O": [ 447 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4026.slice[0].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2553|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 2261 ],
+ "I2": [ "0" ],
+ "I3": [ "1" ],
+ "O": [ 111 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4026.slice[10].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2553|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 2271 ],
+ "I2": [ "1" ],
+ "I3": [ 2703 ],
+ "O": [ 130 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4026.slice[10].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2553|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2703 ],
+ "CO": [ 2704 ],
+ "I0": [ 2271 ],
+ "I1": [ "1" ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4026.slice[11].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2553|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 2251 ],
+ "I2": [ "1" ],
+ "I3": [ 2704 ],
+ "O": [ 14 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4026.slice[1].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2553|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2261 ],
+ "CO": [ 2705 ],
+ "I0": [ 2262 ],
+ "I1": [ "1" ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4026.slice[2].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2553|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 2263 ],
+ "I2": [ "1" ],
+ "I3": [ 2705 ],
+ "O": [ 114 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4026.slice[2].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2553|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2705 ],
+ "CO": [ 2706 ],
+ "I0": [ 2263 ],
+ "I1": [ "1" ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4026.slice[3].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2553|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 2264 ],
+ "I2": [ "1" ],
+ "I3": [ 2706 ],
+ "O": [ 116 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4026.slice[3].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2553|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2706 ],
+ "CO": [ 2707 ],
+ "I0": [ 2264 ],
+ "I1": [ "1" ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4026.slice[4].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2553|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 2265 ],
+ "I2": [ "1" ],
+ "I3": [ 2707 ],
+ "O": [ 118 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4026.slice[4].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2553|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2707 ],
+ "CO": [ 2708 ],
+ "I0": [ 2265 ],
+ "I1": [ "1" ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4026.slice[5].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2553|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 2266 ],
+ "I2": [ "1" ],
+ "I3": [ 2708 ],
+ "O": [ 120 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4026.slice[5].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2553|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2708 ],
+ "CO": [ 2709 ],
+ "I0": [ 2266 ],
+ "I1": [ "1" ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4026.slice[6].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2553|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 2267 ],
+ "I2": [ "1" ],
+ "I3": [ 2709 ],
+ "O": [ 122 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4026.slice[6].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2553|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2709 ],
+ "CO": [ 2710 ],
+ "I0": [ 2267 ],
+ "I1": [ "1" ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4026.slice[7].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2553|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 2268 ],
+ "I2": [ "1" ],
+ "I3": [ 2710 ],
+ "O": [ 124 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4026.slice[7].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2553|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2710 ],
+ "CO": [ 2711 ],
+ "I0": [ 2268 ],
+ "I1": [ "1" ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4026.slice[8].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2553|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 2269 ],
+ "I2": [ "1" ],
+ "I3": [ 2711 ],
+ "O": [ 126 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4026.slice[8].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2553|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2711 ],
+ "CO": [ 2712 ],
+ "I0": [ 2269 ],
+ "I1": [ "1" ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4026.slice[9].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2553|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 2270 ],
+ "I2": [ "1" ],
+ "I3": [ 2712 ],
+ "O": [ 128 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4026.slice[9].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2553|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2712 ],
+ "CO": [ 2703 ],
+ "I0": [ 2270 ],
+ "I1": [ "1" ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4029.slice[0].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2593|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 659 ],
+ "I2": [ "0" ],
+ "I3": [ "1" ],
+ "O": [ 2060 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4029.slice[1].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2593|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 659 ],
+ "CO": [ 2713 ],
+ "I0": [ 660 ],
+ "I1": [ "1" ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4029.slice[2].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2593|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 661 ],
+ "I2": [ "1" ],
+ "I3": [ 2713 ],
+ "O": [ 2064 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4029.slice[2].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2593|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2713 ],
+ "CO": [ 2714 ],
+ "I0": [ 661 ],
+ "I1": [ "1" ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4029.slice[3].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2593|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 662 ],
+ "I2": [ "1" ],
+ "I3": [ 2714 ],
+ "O": [ 2067 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4029.slice[3].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2593|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2714 ],
+ "CO": [ 2715 ],
+ "I0": [ 662 ],
+ "I1": [ "1" ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4029.slice[4].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2593|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 655 ],
+ "I2": [ "1" ],
+ "I3": [ 2715 ],
+ "O": [ 2070 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4029.slice[4].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2593|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2715 ],
+ "CO": [ 2716 ],
+ "I0": [ 655 ],
+ "I1": [ "1" ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4029.slice[5].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2593|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 656 ],
+ "I2": [ "1" ],
+ "I3": [ 2716 ],
+ "O": [ 2073 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4029.slice[5].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2593|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2716 ],
+ "CO": [ 2717 ],
+ "I0": [ 656 ],
+ "I1": [ "1" ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4029.slice[6].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2593|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 657 ],
+ "I2": [ "1" ],
+ "I3": [ 2717 ],
+ "O": [ 2076 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4029.slice[6].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2593|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2717 ],
+ "CO": [ 2718 ],
+ "I0": [ 657 ],
+ "I1": [ "1" ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4029.slice[7].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2593|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 658 ],
+ "I2": [ "1" ],
+ "I3": [ 2718 ],
+ "O": [ 2079 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4029.slice[7].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2593|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2718 ],
+ "CO": [ 2719 ],
+ "I0": [ 658 ],
+ "I1": [ "1" ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4029.slice[8].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2593|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 652 ],
+ "I2": [ "1" ],
+ "I3": [ 2719 ],
+ "O": [ 2082 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4032.slice[0].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2600|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 738 ],
+ "I2": [ "0" ],
+ "I3": [ "1" ],
+ "O": [ 2045 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4032.slice[1].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2600|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 738 ],
+ "CO": [ 2720 ],
+ "I0": [ 745 ],
+ "I1": [ "1" ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4032.slice[2].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2600|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 746 ],
+ "I2": [ "1" ],
+ "I3": [ 2720 ],
+ "O": [ 2048 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4032.slice[2].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2600|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2720 ],
+ "CO": [ 2721 ],
+ "I0": [ 746 ],
+ "I1": [ "1" ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4032.slice[3].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2600|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 747 ],
+ "I2": [ "1" ],
+ "I3": [ 2721 ],
+ "O": [ 2050 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4032.slice[3].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2600|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2721 ],
+ "CO": [ 2722 ],
+ "I0": [ 747 ],
+ "I1": [ "1" ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4032.slice[4].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2600|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 748 ],
+ "I2": [ "1" ],
+ "I3": [ 2722 ],
+ "O": [ 2052 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4032.slice[4].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2600|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2722 ],
+ "CO": [ 2723 ],
+ "I0": [ 748 ],
+ "I1": [ "1" ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4032.slice[5].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2600|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 742 ],
+ "I2": [ "1" ],
+ "I3": [ 2723 ],
+ "O": [ 2054 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4032.slice[5].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2600|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2723 ],
+ "CO": [ 2724 ],
+ "I0": [ 742 ],
+ "I1": [ "1" ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4032.slice[6].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2600|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 743 ],
+ "I2": [ "1" ],
+ "I3": [ 2724 ],
+ "O": [ 2056 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4032.slice[6].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2600|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2724 ],
+ "CO": [ 2725 ],
+ "I0": [ 743 ],
+ "I1": [ "1" ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4032.slice[7].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2600|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 744 ],
+ "I2": [ "1" ],
+ "I3": [ 2725 ],
+ "O": [ 2058 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4032.slice[7].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2600|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2725 ],
+ "CO": [ 2726 ],
+ "I0": [ 744 ],
+ "I1": [ "1" ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4032.slice[8].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2600|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 2260 ],
+ "I2": [ "1" ],
+ "I3": [ 2726 ],
+ "O": [ 108 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4035.slice[0].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 335 ],
+ "I2": [ "0" ],
+ "I3": [ "1" ],
+ "O": [ 1949 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4035.slice[10].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 345 ],
+ "I2": [ "1" ],
+ "I3": [ 2727 ],
+ "O": [ 1978 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4035.slice[10].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2727 ],
+ "CO": [ 2728 ],
+ "I0": [ 345 ],
+ "I1": [ "1" ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4035.slice[11].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 346 ],
+ "I2": [ "1" ],
+ "I3": [ 2728 ],
+ "O": [ 1981 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4035.slice[11].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2728 ],
+ "CO": [ 2729 ],
+ "I0": [ 346 ],
+ "I1": [ "1" ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4035.slice[12].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 339 ],
+ "I2": [ "1" ],
+ "I3": [ 2729 ],
+ "O": [ 1984 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4035.slice[12].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2729 ],
+ "CO": [ 2730 ],
+ "I0": [ 339 ],
+ "I1": [ "1" ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4035.slice[13].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 340 ],
+ "I2": [ "1" ],
+ "I3": [ 2730 ],
+ "O": [ 1987 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4035.slice[13].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2730 ],
+ "CO": [ 2731 ],
+ "I0": [ 340 ],
+ "I1": [ "1" ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4035.slice[14].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 341 ],
+ "I2": [ "1" ],
+ "I3": [ 2731 ],
+ "O": [ 1990 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4035.slice[14].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2731 ],
+ "CO": [ 2732 ],
+ "I0": [ 341 ],
+ "I1": [ "1" ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4035.slice[15].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 342 ],
+ "I2": [ "1" ],
+ "I3": [ 2732 ],
+ "O": [ 1993 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4035.slice[15].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2732 ],
+ "CO": [ 2733 ],
+ "I0": [ 342 ],
+ "I1": [ "1" ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4035.slice[16].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 317 ],
+ "I2": [ "1" ],
+ "I3": [ 2733 ],
+ "O": [ 1996 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4035.slice[16].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2733 ],
+ "CO": [ 2734 ],
+ "I0": [ 317 ],
+ "I1": [ "1" ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4035.slice[17].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 318 ],
+ "I2": [ "1" ],
+ "I3": [ 2734 ],
+ "O": [ 1999 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4035.slice[17].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2734 ],
+ "CO": [ 2735 ],
+ "I0": [ 318 ],
+ "I1": [ "1" ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4035.slice[18].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 319 ],
+ "I2": [ "1" ],
+ "I3": [ 2735 ],
+ "O": [ 2002 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4035.slice[18].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2735 ],
+ "CO": [ 2736 ],
+ "I0": [ 319 ],
+ "I1": [ "1" ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4035.slice[19].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 320 ],
+ "I2": [ "1" ],
+ "I3": [ 2736 ],
+ "O": [ 2005 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4035.slice[19].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2736 ],
+ "CO": [ 2737 ],
+ "I0": [ 320 ],
+ "I1": [ "1" ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4035.slice[1].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 335 ],
+ "CO": [ 2738 ],
+ "I0": [ 336 ],
+ "I1": [ "1" ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4035.slice[20].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 313 ],
+ "I2": [ "1" ],
+ "I3": [ 2737 ],
+ "O": [ 2008 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4035.slice[20].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2737 ],
+ "CO": [ 2739 ],
+ "I0": [ 313 ],
+ "I1": [ "1" ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4035.slice[21].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 314 ],
+ "I2": [ "1" ],
+ "I3": [ 2739 ],
+ "O": [ 2011 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4035.slice[21].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2739 ],
+ "CO": [ 2740 ],
+ "I0": [ 314 ],
+ "I1": [ "1" ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4035.slice[22].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 315 ],
+ "I2": [ "1" ],
+ "I3": [ 2740 ],
+ "O": [ 2014 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4035.slice[22].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2740 ],
+ "CO": [ 2741 ],
+ "I0": [ 315 ],
+ "I1": [ "1" ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4035.slice[23].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 316 ],
+ "I2": [ "1" ],
+ "I3": [ 2741 ],
+ "O": [ 2017 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4035.slice[23].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2741 ],
+ "CO": [ 2742 ],
+ "I0": [ 316 ],
+ "I1": [ "1" ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4035.slice[24].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 325 ],
+ "I2": [ "1" ],
+ "I3": [ 2742 ],
+ "O": [ 2020 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4035.slice[24].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2742 ],
+ "CO": [ 2743 ],
+ "I0": [ 325 ],
+ "I1": [ "1" ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4035.slice[25].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 326 ],
+ "I2": [ "1" ],
+ "I3": [ 2743 ],
+ "O": [ 2023 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4035.slice[25].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2743 ],
+ "CO": [ 2744 ],
+ "I0": [ 326 ],
+ "I1": [ "1" ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4035.slice[26].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 327 ],
+ "I2": [ "1" ],
+ "I3": [ 2744 ],
+ "O": [ 2026 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4035.slice[26].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2744 ],
+ "CO": [ 2745 ],
+ "I0": [ 327 ],
+ "I1": [ "1" ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4035.slice[27].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 328 ],
+ "I2": [ "1" ],
+ "I3": [ 2745 ],
+ "O": [ 2029 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4035.slice[27].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2745 ],
+ "CO": [ 2746 ],
+ "I0": [ 328 ],
+ "I1": [ "1" ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4035.slice[28].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 321 ],
+ "I2": [ "1" ],
+ "I3": [ 2746 ],
+ "O": [ 2032 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4035.slice[28].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2746 ],
+ "CO": [ 2747 ],
+ "I0": [ 321 ],
+ "I1": [ "1" ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4035.slice[29].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 322 ],
+ "I2": [ "1" ],
+ "I3": [ 2747 ],
+ "O": [ 2035 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4035.slice[29].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2747 ],
+ "CO": [ 2748 ],
+ "I0": [ 322 ],
+ "I1": [ "1" ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4035.slice[2].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 337 ],
+ "I2": [ "1" ],
+ "I3": [ 2738 ],
+ "O": [ 1954 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4035.slice[2].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2738 ],
+ "CO": [ 2749 ],
+ "I0": [ 337 ],
+ "I1": [ "1" ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4035.slice[30].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 323 ],
+ "I2": [ "1" ],
+ "I3": [ 2748 ],
+ "O": [ 2038 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4035.slice[30].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2748 ],
+ "CO": [ 2750 ],
+ "I0": [ 323 ],
+ "I1": [ "1" ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4035.slice[31].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 324 ],
+ "I2": [ "1" ],
+ "I3": [ 2750 ],
+ "O": [ 2041 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4035.slice[3].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 338 ],
+ "I2": [ "1" ],
+ "I3": [ 2749 ],
+ "O": [ 1957 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4035.slice[3].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2749 ],
+ "CO": [ 2751 ],
+ "I0": [ 338 ],
+ "I1": [ "1" ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4035.slice[4].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 331 ],
+ "I2": [ "1" ],
+ "I3": [ 2751 ],
+ "O": [ 1960 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4035.slice[4].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2751 ],
+ "CO": [ 2752 ],
+ "I0": [ 331 ],
+ "I1": [ "1" ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4035.slice[5].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 332 ],
+ "I2": [ "1" ],
+ "I3": [ 2752 ],
+ "O": [ 1963 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4035.slice[5].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2752 ],
+ "CO": [ 2753 ],
+ "I0": [ 332 ],
+ "I1": [ "1" ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4035.slice[6].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 333 ],
+ "I2": [ "1" ],
+ "I3": [ 2753 ],
+ "O": [ 1966 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4035.slice[6].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2753 ],
+ "CO": [ 2754 ],
+ "I0": [ 333 ],
+ "I1": [ "1" ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4035.slice[7].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 334 ],
+ "I2": [ "1" ],
+ "I3": [ 2754 ],
+ "O": [ 1969 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4035.slice[7].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2754 ],
+ "CO": [ 2755 ],
+ "I0": [ 334 ],
+ "I1": [ "1" ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4035.slice[8].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 343 ],
+ "I2": [ "1" ],
+ "I3": [ 2755 ],
+ "O": [ 1972 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4035.slice[8].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2755 ],
+ "CO": [ 2756 ],
+ "I0": [ 343 ],
+ "I1": [ "1" ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4035.slice[9].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 344 ],
+ "I2": [ "1" ],
+ "I3": [ 2756 ],
+ "O": [ 1975 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4035.slice[9].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2756 ],
+ "CO": [ 2727 ],
+ "I0": [ 344 ],
+ "I1": [ "1" ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4038.slice[0].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2820|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 484 ],
+ "I2": [ "0" ],
+ "I3": [ "1" ],
+ "O": [ 1568 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4038.slice[10].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2820|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 477 ],
+ "I2": [ "1" ],
+ "I3": [ 2757 ],
+ "O": [ 1585 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4038.slice[10].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2820|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2757 ],
+ "CO": [ 2758 ],
+ "I0": [ 477 ],
+ "I1": [ "1" ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4038.slice[11].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2820|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 2253 ],
+ "I2": [ "1" ],
+ "I3": [ 2758 ],
+ "O": [ 62 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4038.slice[11].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2820|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2758 ],
+ "CO": [ 2759 ],
+ "I0": [ 2253 ],
+ "I1": [ "1" ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4038.slice[12].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2820|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 478 ],
+ "I2": [ "1" ],
+ "I3": [ 2759 ],
+ "O": [ 1587 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4038.slice[12].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2820|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2759 ],
+ "CO": [ 2760 ],
+ "I0": [ 478 ],
+ "I1": [ "1" ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4038.slice[13].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2820|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 2254 ],
+ "I2": [ "1" ],
+ "I3": [ 2760 ],
+ "O": [ 64 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4038.slice[13].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2820|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2760 ],
+ "CO": [ 2761 ],
+ "I0": [ 2254 ],
+ "I1": [ "1" ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4038.slice[14].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2820|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 2255 ],
+ "I2": [ "1" ],
+ "I3": [ 2761 ],
+ "O": [ 66 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4038.slice[14].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2820|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2761 ],
+ "CO": [ 2762 ],
+ "I0": [ 2255 ],
+ "I1": [ "1" ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4038.slice[15].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2820|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 479 ],
+ "I2": [ "1" ],
+ "I3": [ 2762 ],
+ "O": [ 1589 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4038.slice[15].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2820|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2762 ],
+ "CO": [ 2763 ],
+ "I0": [ 479 ],
+ "I1": [ "1" ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4038.slice[16].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2820|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 472 ],
+ "I2": [ "1" ],
+ "I3": [ 2763 ],
+ "O": [ 1591 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4038.slice[16].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2820|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2763 ],
+ "CO": [ 2764 ],
+ "I0": [ 472 ],
+ "I1": [ "1" ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4038.slice[17].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2820|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 473 ],
+ "I2": [ "1" ],
+ "I3": [ 2764 ],
+ "O": [ 1593 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4038.slice[17].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2820|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2764 ],
+ "CO": [ 2765 ],
+ "I0": [ 473 ],
+ "I1": [ "1" ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4038.slice[18].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2820|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 474 ],
+ "I2": [ "1" ],
+ "I3": [ 2765 ],
+ "O": [ 1595 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4038.slice[18].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2820|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2765 ],
+ "CO": [ 2766 ],
+ "I0": [ 474 ],
+ "I1": [ "1" ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4038.slice[19].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2820|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 2256 ],
+ "I2": [ "1" ],
+ "I3": [ 2766 ],
+ "O": [ 68 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4038.slice[19].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2820|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2766 ],
+ "CO": [ 2767 ],
+ "I0": [ 2256 ],
+ "I1": [ "1" ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4038.slice[1].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2820|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 484 ],
+ "CO": [ 2768 ],
+ "I0": [ 485 ],
+ "I1": [ "1" ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4038.slice[20].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2820|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 2257 ],
+ "I2": [ "1" ],
+ "I3": [ 2767 ],
+ "O": [ 70 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4038.slice[2].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2820|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 486 ],
+ "I2": [ "1" ],
+ "I3": [ 2768 ],
+ "O": [ 1571 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4038.slice[2].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2820|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2768 ],
+ "CO": [ 2769 ],
+ "I0": [ 486 ],
+ "I1": [ "1" ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4038.slice[3].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2820|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 487 ],
+ "I2": [ "1" ],
+ "I3": [ 2769 ],
+ "O": [ 1573 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4038.slice[3].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2820|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2769 ],
+ "CO": [ 2770 ],
+ "I0": [ 487 ],
+ "I1": [ "1" ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4038.slice[4].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2820|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 480 ],
+ "I2": [ "1" ],
+ "I3": [ 2770 ],
+ "O": [ 1575 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4038.slice[4].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2820|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2770 ],
+ "CO": [ 2771 ],
+ "I0": [ 480 ],
+ "I1": [ "1" ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4038.slice[5].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2820|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 481 ],
+ "I2": [ "1" ],
+ "I3": [ 2771 ],
+ "O": [ 1577 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4038.slice[5].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2820|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2771 ],
+ "CO": [ 2772 ],
+ "I0": [ 481 ],
+ "I1": [ "1" ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4038.slice[6].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2820|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 482 ],
+ "I2": [ "1" ],
+ "I3": [ 2772 ],
+ "O": [ 1579 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4038.slice[6].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2820|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2772 ],
+ "CO": [ 2773 ],
+ "I0": [ 482 ],
+ "I1": [ "1" ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4038.slice[7].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2820|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 483 ],
+ "I2": [ "1" ],
+ "I3": [ 2773 ],
+ "O": [ 1581 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4038.slice[7].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2820|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2773 ],
+ "CO": [ 2774 ],
+ "I0": [ 483 ],
+ "I1": [ "1" ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4038.slice[8].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2820|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 476 ],
+ "I2": [ "1" ],
+ "I3": [ 2774 ],
+ "O": [ 1583 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4038.slice[8].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2820|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2774 ],
+ "CO": [ 2775 ],
+ "I0": [ 476 ],
+ "I1": [ "1" ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4038.slice[9].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:2820|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 2252 ],
+ "I2": [ "1" ],
+ "I3": [ 2775 ],
+ "O": [ 57 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4038.slice[9].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2820|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2775 ],
+ "CO": [ 2757 ],
+ "I0": [ 2252 ],
+ "I1": [ "1" ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4041.slice[0].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:3273|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 164 ],
+ "I2": [ "0" ],
+ "I3": [ "1" ],
+ "O": [ 1458 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4041.slice[1].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:3273|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 164 ],
+ "CO": [ 2776 ],
+ "I0": [ 168 ],
+ "I1": [ "1" ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4041.slice[2].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:3273|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 169 ],
+ "I2": [ "1" ],
+ "I3": [ 2776 ],
+ "O": [ 1461 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4041.slice[2].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:3273|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2776 ],
+ "CO": [ 2777 ],
+ "I0": [ 169 ],
+ "I1": [ "1" ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4041.slice[3].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:3273|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 170 ],
+ "I2": [ "1" ],
+ "I3": [ 2777 ],
+ "O": [ 1463 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4041.slice[3].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:3273|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2777 ],
+ "CO": [ 2778 ],
+ "I0": [ 170 ],
+ "I1": [ "1" ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4041.slice[4].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:3273|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 2258 ],
+ "I2": [ "1" ],
+ "I3": [ 2778 ],
+ "O": [ 72 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4044.slice[0].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:3283|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 85 ],
+ "I2": [ "0" ],
+ "I3": [ "1" ],
+ "O": [ 1425 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4044.slice[10].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:3283|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 96 ],
+ "I2": [ "1" ],
+ "I3": [ 2779 ],
+ "O": [ 1444 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4044.slice[10].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:3283|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2779 ],
+ "CO": [ 2780 ],
+ "I0": [ 96 ],
+ "I1": [ "1" ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4044.slice[11].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:3283|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 97 ],
+ "I2": [ "1" ],
+ "I3": [ 2780 ],
+ "O": [ 1446 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4044.slice[11].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:3283|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2780 ],
+ "CO": [ 2781 ],
+ "I0": [ 97 ],
+ "I1": [ "1" ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4044.slice[12].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:3283|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 98 ],
+ "I2": [ "1" ],
+ "I3": [ 2781 ],
+ "O": [ 1448 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4044.slice[12].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:3283|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2781 ],
+ "CO": [ 2782 ],
+ "I0": [ 98 ],
+ "I1": [ "1" ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4044.slice[13].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:3283|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 92 ],
+ "I2": [ "1" ],
+ "I3": [ 2782 ],
+ "O": [ 1450 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4044.slice[13].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:3283|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2782 ],
+ "CO": [ 2783 ],
+ "I0": [ 92 ],
+ "I1": [ "1" ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4044.slice[14].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:3283|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 93 ],
+ "I2": [ "1" ],
+ "I3": [ 2783 ],
+ "O": [ 1452 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4044.slice[14].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:3283|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2783 ],
+ "CO": [ 2784 ],
+ "I0": [ 93 ],
+ "I1": [ "1" ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4044.slice[15].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:3283|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 94 ],
+ "I2": [ "1" ],
+ "I3": [ 2784 ],
+ "O": [ 1454 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4044.slice[15].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:3283|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2784 ],
+ "CO": [ 2785 ],
+ "I0": [ 94 ],
+ "I1": [ "1" ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4044.slice[16].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:3283|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 2259 ],
+ "I2": [ "1" ],
+ "I3": [ 2785 ],
+ "O": [ 77 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4044.slice[1].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:3283|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 85 ],
+ "CO": [ 2786 ],
+ "I0": [ 103 ],
+ "I1": [ "1" ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4044.slice[2].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:3283|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 104 ],
+ "I2": [ "1" ],
+ "I3": [ 2786 ],
+ "O": [ 1428 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4044.slice[2].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:3283|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2786 ],
+ "CO": [ 2787 ],
+ "I0": [ 104 ],
+ "I1": [ "1" ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4044.slice[3].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:3283|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 105 ],
+ "I2": [ "1" ],
+ "I3": [ 2787 ],
+ "O": [ 1430 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4044.slice[3].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:3283|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2787 ],
+ "CO": [ 2788 ],
+ "I0": [ 105 ],
+ "I1": [ "1" ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4044.slice[4].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:3283|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 106 ],
+ "I2": [ "1" ],
+ "I3": [ 2788 ],
+ "O": [ 1432 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4044.slice[4].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:3283|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2788 ],
+ "CO": [ 2789 ],
+ "I0": [ 106 ],
+ "I1": [ "1" ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4044.slice[5].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:3283|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 99 ],
+ "I2": [ "1" ],
+ "I3": [ 2789 ],
+ "O": [ 1434 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4044.slice[5].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:3283|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2789 ],
+ "CO": [ 2790 ],
+ "I0": [ 99 ],
+ "I1": [ "1" ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4044.slice[6].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:3283|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 100 ],
+ "I2": [ "1" ],
+ "I3": [ 2790 ],
+ "O": [ 1436 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4044.slice[6].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:3283|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2790 ],
+ "CO": [ 2791 ],
+ "I0": [ 100 ],
+ "I1": [ "1" ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4044.slice[7].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:3283|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 101 ],
+ "I2": [ "1" ],
+ "I3": [ 2791 ],
+ "O": [ 1438 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4044.slice[7].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:3283|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2791 ],
+ "CO": [ 2792 ],
+ "I0": [ 101 ],
+ "I1": [ "1" ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4044.slice[8].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:3283|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 102 ],
+ "I2": [ "1" ],
+ "I3": [ 2792 ],
+ "O": [ 1440 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4044.slice[8].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:3283|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2792 ],
+ "CO": [ 2793 ],
+ "I0": [ 102 ],
+ "I1": [ "1" ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4044.slice[9].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "src": "top.v:3283|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 95 ],
+ "I2": [ "1" ],
+ "I3": [ 2793 ],
+ "O": [ 1442 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4044.slice[9].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:3283|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 2793 ],
+ "CO": [ 2779 ],
+ "I0": [ 95 ],
+ "I1": [ "1" ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10170": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2794 ],
+ "E": [ 2162 ],
+ "Q": [ 1331 ],
+ "R": [ 2272 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10171": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2795 ],
+ "E": [ 2162 ],
+ "Q": [ 1348 ],
+ "R": [ 2272 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10260": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2140 ],
+ "Q": [ 159 ],
+ "R": [ 152 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10277": {
+ "hide_name": 1,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2346 ],
+ "Q": [ 157 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10278": {
+ "hide_name": 1,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1340 ],
+ "Q": [ 2308 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10279": {
+ "hide_name": 1,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2328 ],
+ "Q": [ 162 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10280": {
+ "hide_name": 1,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1341 ],
+ "Q": [ 2305 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10285": {
+ "hide_name": 1,
+ "type": "SB_DFFESS",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "S": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2044 ],
+ "E": [ 388 ],
+ "Q": [ 8 ],
+ "S": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10286": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 349 ],
+ "E": [ 201 ],
+ "Q": [ 1218 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10287": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 40 ],
+ "E": [ 201 ],
+ "Q": [ 1229 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10288": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 36 ],
+ "E": [ 201 ],
+ "Q": [ 1230 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10289": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 18 ],
+ "E": [ 201 ],
+ "Q": [ 1240 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10290": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 43 ],
+ "E": [ 201 ],
+ "Q": [ 1259 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10291": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 39 ],
+ "E": [ 201 ],
+ "Q": [ 1260 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10292": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 35 ],
+ "E": [ 201 ],
+ "Q": [ 1276 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10293": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2796 ],
+ "E": [ 201 ],
+ "Q": [ 1280 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10294": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 349 ],
+ "E": [ 213 ],
+ "Q": [ 1217 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10295": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 41 ],
+ "E": [ 213 ],
+ "Q": [ 1224 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10296": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 37 ],
+ "E": [ 213 ],
+ "Q": [ 1233 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10297": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 17 ],
+ "E": [ 213 ],
+ "Q": [ 1243 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10298": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 43 ],
+ "E": [ 213 ],
+ "Q": [ 1254 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10299": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 38 ],
+ "E": [ 213 ],
+ "Q": [ 1263 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10300": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 35 ],
+ "E": [ 213 ],
+ "Q": [ 1270 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10301": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2796 ],
+ "E": [ 213 ],
+ "Q": [ 1286 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10302": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 349 ],
+ "E": [ 215 ],
+ "Q": [ 1210 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10303": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 40 ],
+ "E": [ 215 ],
+ "Q": [ 1228 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10304": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 37 ],
+ "E": [ 215 ],
+ "Q": [ 1238 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10305": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 17 ],
+ "E": [ 215 ],
+ "Q": [ 1248 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10306": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 43 ],
+ "E": [ 215 ],
+ "Q": [ 1258 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10307": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 39 ],
+ "E": [ 215 ],
+ "Q": [ 1269 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10308": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 34 ],
+ "E": [ 215 ],
+ "Q": [ 1279 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10309": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2796 ],
+ "E": [ 215 ],
+ "Q": [ 1289 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10310": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 349 ],
+ "E": [ 217 ],
+ "Q": [ 1219 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10311": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 41 ],
+ "E": [ 217 ],
+ "Q": [ 1227 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10312": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 36 ],
+ "E": [ 217 ],
+ "Q": [ 1239 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10313": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 17 ],
+ "E": [ 217 ],
+ "Q": [ 1249 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10314": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 43 ],
+ "E": [ 217 ],
+ "Q": [ 1257 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10315": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 38 ],
+ "E": [ 217 ],
+ "Q": [ 1268 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10316": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 34 ],
+ "E": [ 217 ],
+ "Q": [ 1278 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10317": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2796 ],
+ "E": [ 217 ],
+ "Q": [ 1288 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10318": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2413 ],
+ "E": [ 262 ],
+ "Q": [ 219 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10319": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2279 ],
+ "E": [ 220 ],
+ "Q": [ 251 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10320": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2456 ],
+ "E": [ 262 ],
+ "Q": [ 241 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10321": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2461 ],
+ "E": [ 262 ],
+ "Q": [ 242 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10322": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2463 ],
+ "E": [ 262 ],
+ "Q": [ 235 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10323": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2465 ],
+ "E": [ 262 ],
+ "Q": [ 236 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10324": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2467 ],
+ "E": [ 262 ],
+ "Q": [ 237 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10325": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2469 ],
+ "E": [ 262 ],
+ "Q": [ 238 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10326": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2471 ],
+ "E": [ 262 ],
+ "Q": [ 233 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10327": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2473 ],
+ "E": [ 262 ],
+ "Q": [ 234 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10328": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2415 ],
+ "E": [ 262 ],
+ "Q": [ 227 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10329": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2417 ],
+ "E": [ 262 ],
+ "Q": [ 228 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10330": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2419 ],
+ "E": [ 262 ],
+ "Q": [ 229 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10331": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2421 ],
+ "E": [ 262 ],
+ "Q": [ 230 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10332": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2423 ],
+ "E": [ 262 ],
+ "Q": [ 239 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10333": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2425 ],
+ "E": [ 262 ],
+ "Q": [ 240 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10334": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2427 ],
+ "E": [ 262 ],
+ "Q": [ 260 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10335": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2429 ],
+ "E": [ 262 ],
+ "Q": [ 261 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10336": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2431 ],
+ "E": [ 262 ],
+ "Q": [ 254 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10337": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2433 ],
+ "E": [ 262 ],
+ "Q": [ 255 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10338": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2436 ],
+ "E": [ 262 ],
+ "Q": [ 256 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10339": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2438 ],
+ "E": [ 262 ],
+ "Q": [ 257 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10340": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2440 ],
+ "E": [ 262 ],
+ "Q": [ 231 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10341": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2442 ],
+ "E": [ 262 ],
+ "Q": [ 232 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10342": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2444 ],
+ "E": [ 262 ],
+ "Q": [ 252 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10343": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2446 ],
+ "E": [ 262 ],
+ "Q": [ 253 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10344": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2448 ],
+ "E": [ 262 ],
+ "Q": [ 247 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10345": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2450 ],
+ "E": [ 262 ],
+ "Q": [ 248 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10346": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2452 ],
+ "E": [ 262 ],
+ "Q": [ 249 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10347": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2454 ],
+ "E": [ 262 ],
+ "Q": [ 250 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10348": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2458 ],
+ "E": [ 262 ],
+ "Q": [ 258 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10349": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2460 ],
+ "E": [ 262 ],
+ "Q": [ 259 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10350": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1846 ],
+ "Q": [ 208 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10351": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1848 ],
+ "Q": [ 209 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10352": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1850 ],
+ "Q": [ 211 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10353": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1852 ],
+ "Q": [ 210 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10354": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1854 ],
+ "Q": [ 276 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10355": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1856 ],
+ "Q": [ 1160 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10359": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1864 ],
+ "Q": [ 205 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10360": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1866 ],
+ "Q": [ 207 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10361": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1868 ],
+ "Q": [ 202 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10362": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1870 ],
+ "Q": [ 203 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10363": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1872 ],
+ "Q": [ 206 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10364": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1424 ],
+ "Q": [ 198 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10365": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1631 ],
+ "Q": [ 349 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10366": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1635 ],
+ "Q": [ 40 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10367": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1639 ],
+ "Q": [ 36 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10368": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1643 ],
+ "Q": [ 17 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10369": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1647 ],
+ "Q": [ 42 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10370": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1651 ],
+ "Q": [ 38 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10371": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1655 ],
+ "Q": [ 34 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10372": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1659 ],
+ "Q": [ 2796 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10373": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2165 ],
+ "Q": [ 1602 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10374": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2176 ],
+ "Q": [ 1606 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10375": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2186 ],
+ "Q": [ 1610 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10376": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2196 ],
+ "Q": [ 1614 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10377": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2208 ],
+ "Q": [ 1618 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10378": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2216 ],
+ "Q": [ 1622 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10379": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2224 ],
+ "Q": [ 1626 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10380": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2232 ],
+ "Q": [ 1629 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10405": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 756 ],
+ "E": [ 264 ],
+ "Q": [ 83 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10406": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2274 ],
+ "E": [ 267 ],
+ "Q": [ 266 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10407": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2042 ],
+ "E": [ 267 ],
+ "Q": [ 263 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10408": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 349 ],
+ "E": [ 273 ],
+ "Q": [ 985 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10409": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 40 ],
+ "E": [ 273 ],
+ "Q": [ 1004 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10410": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 36 ],
+ "E": [ 273 ],
+ "Q": [ 1027 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10411": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 17 ],
+ "E": [ 273 ],
+ "Q": [ 1036 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10412": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 42 ],
+ "E": [ 273 ],
+ "Q": [ 1053 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10413": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 38 ],
+ "E": [ 273 ],
+ "Q": [ 1089 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10414": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 34 ],
+ "E": [ 273 ],
+ "Q": [ 1110 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10415": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2796 ],
+ "E": [ 273 ],
+ "Q": [ 1125 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10416": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 349 ],
+ "E": [ 278 ],
+ "Q": [ 984 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10417": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 40 ],
+ "E": [ 278 ],
+ "Q": [ 1006 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10418": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 36 ],
+ "E": [ 278 ],
+ "Q": [ 1023 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10419": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 17 ],
+ "E": [ 278 ],
+ "Q": [ 1046 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10420": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 42 ],
+ "E": [ 278 ],
+ "Q": [ 1064 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10421": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 38 ],
+ "E": [ 278 ],
+ "Q": [ 1081 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10422": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 34 ],
+ "E": [ 278 ],
+ "Q": [ 1104 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10423": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2796 ],
+ "E": [ 278 ],
+ "Q": [ 1127 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10424": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 349 ],
+ "E": [ 280 ],
+ "Q": [ 966 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10425": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 40 ],
+ "E": [ 280 ],
+ "Q": [ 1005 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10426": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 36 ],
+ "E": [ 280 ],
+ "Q": [ 1017 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10427": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 17 ],
+ "E": [ 280 ],
+ "Q": [ 1048 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10428": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 42 ],
+ "E": [ 280 ],
+ "Q": [ 1066 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10429": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 38 ],
+ "E": [ 280 ],
+ "Q": [ 1073 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10430": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 34 ],
+ "E": [ 280 ],
+ "Q": [ 1108 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10431": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2796 ],
+ "E": [ 280 ],
+ "Q": [ 1124 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10432": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 349 ],
+ "E": [ 282 ],
+ "Q": [ 980 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10433": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 40 ],
+ "E": [ 282 ],
+ "Q": [ 993 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10434": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 36 ],
+ "E": [ 282 ],
+ "Q": [ 1019 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10435": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 17 ],
+ "E": [ 282 ],
+ "Q": [ 1042 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10436": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 42 ],
+ "E": [ 282 ],
+ "Q": [ 1065 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10437": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 38 ],
+ "E": [ 282 ],
+ "Q": [ 1088 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10438": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 34 ],
+ "E": [ 282 ],
+ "Q": [ 1107 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10439": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2796 ],
+ "E": [ 282 ],
+ "Q": [ 1120 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10440": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 349 ],
+ "E": [ 285 ],
+ "Q": [ 974 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10441": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 40 ],
+ "E": [ 285 ],
+ "Q": [ 990 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10442": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 36 ],
+ "E": [ 285 ],
+ "Q": [ 1018 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10443": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 17 ],
+ "E": [ 285 ],
+ "Q": [ 1041 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10444": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 42 ],
+ "E": [ 285 ],
+ "Q": [ 1068 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10445": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 38 ],
+ "E": [ 285 ],
+ "Q": [ 1085 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10446": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 34 ],
+ "E": [ 285 ],
+ "Q": [ 1106 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10447": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2796 ],
+ "E": [ 285 ],
+ "Q": [ 1131 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10448": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 349 ],
+ "E": [ 288 ],
+ "Q": [ 981 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10449": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 40 ],
+ "E": [ 288 ],
+ "Q": [ 1001 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10450": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 36 ],
+ "E": [ 288 ],
+ "Q": [ 1020 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10451": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 17 ],
+ "E": [ 288 ],
+ "Q": [ 1038 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10452": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 42 ],
+ "E": [ 288 ],
+ "Q": [ 1063 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10453": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 38 ],
+ "E": [ 288 ],
+ "Q": [ 1080 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10454": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 34 ],
+ "E": [ 288 ],
+ "Q": [ 1103 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10455": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2796 ],
+ "E": [ 288 ],
+ "Q": [ 1128 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10456": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 349 ],
+ "E": [ 291 ],
+ "Q": [ 971 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10457": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 40 ],
+ "E": [ 291 ],
+ "Q": [ 1000 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10458": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 36 ],
+ "E": [ 291 ],
+ "Q": [ 1025 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10459": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 17 ],
+ "E": [ 291 ],
+ "Q": [ 1032 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10460": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 42 ],
+ "E": [ 291 ],
+ "Q": [ 1060 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10461": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 38 ],
+ "E": [ 291 ],
+ "Q": [ 1087 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10462": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 34 ],
+ "E": [ 291 ],
+ "Q": [ 1098 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10463": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2796 ],
+ "E": [ 291 ],
+ "Q": [ 1115 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10464": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 349 ],
+ "E": [ 294 ],
+ "Q": [ 979 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10465": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 40 ],
+ "E": [ 294 ],
+ "Q": [ 1003 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10466": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 36 ],
+ "E": [ 294 ],
+ "Q": [ 1026 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10467": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 17 ],
+ "E": [ 294 ],
+ "Q": [ 1035 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10468": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 42 ],
+ "E": [ 294 ],
+ "Q": [ 1062 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10469": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 38 ],
+ "E": [ 294 ],
+ "Q": [ 1079 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10470": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 34 ],
+ "E": [ 294 ],
+ "Q": [ 1105 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10471": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2796 ],
+ "E": [ 294 ],
+ "Q": [ 1121 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10472": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 349 ],
+ "E": [ 296 ],
+ "Q": [ 355 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10473": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 335 ],
+ "E": [ 299 ],
+ "Q": [ 982 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10474": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 336 ],
+ "E": [ 299 ],
+ "Q": [ 999 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10475": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 337 ],
+ "E": [ 299 ],
+ "Q": [ 1014 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10476": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 338 ],
+ "E": [ 299 ],
+ "Q": [ 1043 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10477": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 331 ],
+ "E": [ 299 ],
+ "Q": [ 1059 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10478": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 332 ],
+ "E": [ 299 ],
+ "Q": [ 1086 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10479": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 333 ],
+ "E": [ 299 ],
+ "Q": [ 1109 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10480": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 334 ],
+ "E": [ 299 ],
+ "Q": [ 1126 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10481": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 343 ],
+ "E": [ 299 ],
+ "Q": [ 983 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10482": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 344 ],
+ "E": [ 299 ],
+ "Q": [ 998 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10483": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 345 ],
+ "E": [ 299 ],
+ "Q": [ 1024 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10484": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 346 ],
+ "E": [ 299 ],
+ "Q": [ 1037 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10485": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 339 ],
+ "E": [ 299 ],
+ "Q": [ 1061 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10486": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 340 ],
+ "E": [ 299 ],
+ "Q": [ 1078 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10487": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 341 ],
+ "E": [ 299 ],
+ "Q": [ 1097 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10488": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 342 ],
+ "E": [ 299 ],
+ "Q": [ 1117 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10489": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 317 ],
+ "E": [ 299 ],
+ "Q": [ 963 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10490": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 318 ],
+ "E": [ 299 ],
+ "Q": [ 997 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10491": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 319 ],
+ "E": [ 299 ],
+ "Q": [ 1011 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10492": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 320 ],
+ "E": [ 299 ],
+ "Q": [ 1039 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10493": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 313 ],
+ "E": [ 299 ],
+ "Q": [ 1067 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10494": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 314 ],
+ "E": [ 299 ],
+ "Q": [ 1084 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10495": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 315 ],
+ "E": [ 299 ],
+ "Q": [ 1095 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10496": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 316 ],
+ "E": [ 299 ],
+ "Q": [ 1119 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10497": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 325 ],
+ "E": [ 299 ],
+ "Q": [ 969 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10498": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 326 ],
+ "E": [ 299 ],
+ "Q": [ 996 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10499": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 327 ],
+ "E": [ 299 ],
+ "Q": [ 1016 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10500": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 328 ],
+ "E": [ 299 ],
+ "Q": [ 1047 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10501": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 321 ],
+ "E": [ 299 ],
+ "Q": [ 1056 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10502": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 322 ],
+ "E": [ 299 ],
+ "Q": [ 1076 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10503": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 323 ],
+ "E": [ 299 ],
+ "Q": [ 1093 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10504": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 324 ],
+ "E": [ 299 ],
+ "Q": [ 1130 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10505": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 304 ],
+ "E": [ 348 ],
+ "Q": [ 973 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10506": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 302 ],
+ "Q": [ 303 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10507": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 349 ],
+ "E": [ 353 ],
+ "Q": [ 967 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10508": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1948 ],
+ "Q": [ 335 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10509": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1951 ],
+ "E": [ 356 ],
+ "Q": [ 336 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10510": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1953 ],
+ "Q": [ 337 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10511": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1956 ],
+ "Q": [ 338 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10512": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1959 ],
+ "Q": [ 331 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10513": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1962 ],
+ "Q": [ 332 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10514": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1965 ],
+ "Q": [ 333 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10515": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1968 ],
+ "Q": [ 334 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10516": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1971 ],
+ "Q": [ 343 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10517": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1974 ],
+ "Q": [ 344 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10518": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1977 ],
+ "Q": [ 345 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10519": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1980 ],
+ "Q": [ 346 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10520": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1983 ],
+ "Q": [ 339 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10521": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1986 ],
+ "Q": [ 340 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10522": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1989 ],
+ "Q": [ 341 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10523": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1992 ],
+ "Q": [ 342 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10524": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1995 ],
+ "Q": [ 317 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10525": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1998 ],
+ "Q": [ 318 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10526": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2001 ],
+ "Q": [ 319 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10527": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2004 ],
+ "Q": [ 320 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10528": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2007 ],
+ "Q": [ 313 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10529": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2010 ],
+ "Q": [ 314 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10530": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2013 ],
+ "Q": [ 315 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10531": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2016 ],
+ "Q": [ 316 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10532": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2019 ],
+ "Q": [ 325 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10533": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2022 ],
+ "Q": [ 326 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10534": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2025 ],
+ "Q": [ 327 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10535": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2028 ],
+ "Q": [ 328 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10536": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2031 ],
+ "Q": [ 321 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10537": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2034 ],
+ "Q": [ 322 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10538": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2037 ],
+ "Q": [ 323 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10539": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2040 ],
+ "Q": [ 324 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10540": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 357 ],
+ "E": [ 358 ],
+ "Q": [ 84 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10541": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 349 ],
+ "E": [ 361 ],
+ "Q": [ 796 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10542": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 40 ],
+ "E": [ 361 ],
+ "Q": [ 790 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10543": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 36 ],
+ "E": [ 361 ],
+ "Q": [ 793 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10544": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 17 ],
+ "E": [ 361 ],
+ "Q": [ 1137 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10545": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 349 ],
+ "E": [ 363 ],
+ "Q": [ 792 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10546": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 46 ],
+ "E": [ 365 ],
+ "Q": [ 794 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10547": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2303 ],
+ "Q": [ 791 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10548": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2797 ],
+ "E": [ 371 ],
+ "Q": [ 1600 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10549": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1600 ],
+ "E": [ 371 ],
+ "Q": [ 1605 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10550": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1605 ],
+ "E": [ 371 ],
+ "Q": [ 1609 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10551": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1609 ],
+ "E": [ 371 ],
+ "Q": [ 1613 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10552": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1613 ],
+ "E": [ 371 ],
+ "Q": [ 1617 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10553": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1617 ],
+ "E": [ 371 ],
+ "Q": [ 1621 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10554": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1621 ],
+ "E": [ 371 ],
+ "Q": [ 1625 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10555": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1625 ],
+ "E": [ 371 ],
+ "Q": [ 1630 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10556": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1844 ],
+ "E": [ 373 ],
+ "Q": [ 1634 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10557": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1845 ],
+ "E": [ 373 ],
+ "Q": [ 1638 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10558": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1847 ],
+ "E": [ 373 ],
+ "Q": [ 1642 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10559": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1849 ],
+ "E": [ 373 ],
+ "Q": [ 1646 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10560": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1851 ],
+ "E": [ 373 ],
+ "Q": [ 1650 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10561": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1853 ],
+ "E": [ 373 ],
+ "Q": [ 1654 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10562": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1855 ],
+ "E": [ 373 ],
+ "Q": [ 1658 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10563": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1857 ],
+ "E": [ 373 ],
+ "Q": [ 1662 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10564": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1859 ],
+ "E": [ 373 ],
+ "Q": [ 1666 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10565": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1861 ],
+ "E": [ 373 ],
+ "Q": [ 1670 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10566": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1863 ],
+ "E": [ 373 ],
+ "Q": [ 1674 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10567": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1865 ],
+ "E": [ 373 ],
+ "Q": [ 1678 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10568": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1867 ],
+ "E": [ 373 ],
+ "Q": [ 1682 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10569": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1869 ],
+ "E": [ 373 ],
+ "Q": [ 1686 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10570": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1871 ],
+ "E": [ 373 ],
+ "Q": [ 1690 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10571": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1873 ],
+ "E": [ 373 ],
+ "Q": [ 935 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10572": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 937 ],
+ "E": [ 373 ],
+ "Q": [ 938 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10573": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 940 ],
+ "E": [ 373 ],
+ "Q": [ 941 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10574": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 943 ],
+ "E": [ 373 ],
+ "Q": [ 944 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10575": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 946 ],
+ "E": [ 373 ],
+ "Q": [ 947 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10576": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 949 ],
+ "E": [ 373 ],
+ "Q": [ 950 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10577": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 952 ],
+ "E": [ 373 ],
+ "Q": [ 953 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10578": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 955 ],
+ "E": [ 373 ],
+ "Q": [ 956 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10579": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 958 ],
+ "E": [ 373 ],
+ "Q": [ 795 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10580": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2273 ],
+ "Q": [ 370 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10581": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 6 ],
+ "E": [ 374 ],
+ "Q": [ 2797 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10582": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1933 ],
+ "E": [ 375 ],
+ "Q": [ 47 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10583": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1934 ],
+ "E": [ 377 ],
+ "Q": [ 49 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10584": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1936 ],
+ "E": [ 375 ],
+ "Q": [ 50 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10585": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1938 ],
+ "E": [ 375 ],
+ "Q": [ 51 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10586": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1940 ],
+ "E": [ 375 ],
+ "Q": [ 54 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10587": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1942 ],
+ "E": [ 375 ],
+ "Q": [ 52 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10588": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1944 ],
+ "E": [ 375 ],
+ "Q": [ 53 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10589": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1946 ],
+ "E": [ 375 ],
+ "Q": [ 55 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10590": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 396 ],
+ "Q": [ 383 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10591": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1728 ],
+ "Q": [ 386 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10594": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 379 ],
+ "Q": [ 381 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10595": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1875 ],
+ "E": [ 380 ],
+ "Q": [ 1874 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10596": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1877 ],
+ "Q": [ 2534 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10597": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1879 ],
+ "Q": [ 2536 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10598": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1881 ],
+ "Q": [ 2538 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10599": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1883 ],
+ "Q": [ 2540 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10600": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1885 ],
+ "Q": [ 2542 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10601": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1887 ],
+ "Q": [ 2544 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10602": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1889 ],
+ "Q": [ 2488 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10603": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1891 ],
+ "Q": [ 2491 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10604": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1893 ],
+ "Q": [ 2493 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10605": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1895 ],
+ "Q": [ 2495 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10606": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1897 ],
+ "Q": [ 2497 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10607": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1899 ],
+ "Q": [ 2499 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10608": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1901 ],
+ "Q": [ 2501 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10609": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1903 ],
+ "Q": [ 2503 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10610": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1905 ],
+ "Q": [ 2505 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10611": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1907 ],
+ "Q": [ 2507 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10612": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1909 ],
+ "Q": [ 2509 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10613": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1911 ],
+ "Q": [ 2511 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10614": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1913 ],
+ "Q": [ 2513 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10615": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1915 ],
+ "Q": [ 2515 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10616": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1917 ],
+ "Q": [ 2517 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10617": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1919 ],
+ "Q": [ 2519 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10618": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1921 ],
+ "Q": [ 2521 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10619": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1923 ],
+ "Q": [ 2523 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10620": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1925 ],
+ "Q": [ 2525 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10621": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1927 ],
+ "Q": [ 2527 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10622": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1929 ],
+ "Q": [ 2529 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10623": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1931 ],
+ "Q": [ 2531 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10624": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1796 ],
+ "E": [ 390 ],
+ "Q": [ 2043 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10625": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1805 ],
+ "E": [ 390 ],
+ "Q": [ 1794 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10626": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1811 ],
+ "E": [ 390 ],
+ "Q": [ 1803 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10627": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1817 ],
+ "E": [ 390 ],
+ "Q": [ 1809 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10628": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1823 ],
+ "E": [ 390 ],
+ "Q": [ 1815 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10629": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1829 ],
+ "E": [ 390 ],
+ "Q": [ 1821 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10630": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1835 ],
+ "E": [ 390 ],
+ "Q": [ 1827 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10631": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1841 ],
+ "E": [ 390 ],
+ "Q": [ 1833 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10632": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1788 ],
+ "E": [ 388 ],
+ "Q": [ 394 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10633": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1789 ],
+ "E": [ 395 ],
+ "Q": [ 391 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10634": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1791 ],
+ "E": [ 388 ],
+ "Q": [ 392 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10635": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1793 ],
+ "E": [ 388 ],
+ "Q": [ 393 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10636": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 385 ],
+ "E": [ 397 ],
+ "Q": [ 382 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10637": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 398 ],
+ "Q": [ 426 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10638": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2798 ],
+ "E": [ 399 ],
+ "Q": [ 619 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10639": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2799 ],
+ "E": [ 399 ],
+ "Q": [ 618 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10640": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2800 ],
+ "E": [ 399 ],
+ "Q": [ 625 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10641": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2801 ],
+ "E": [ 399 ],
+ "Q": [ 626 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10642": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2802 ],
+ "E": [ 399 ],
+ "Q": [ 627 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10643": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2803 ],
+ "E": [ 399 ],
+ "Q": [ 622 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10644": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2804 ],
+ "E": [ 399 ],
+ "Q": [ 623 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10645": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2805 ],
+ "E": [ 399 ],
+ "Q": [ 624 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10646": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1519 ],
+ "Q": [ 403 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10649": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 408 ],
+ "Q": [ 410 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10650": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1730 ],
+ "E": [ 409 ],
+ "Q": [ 1729 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10651": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1732 ],
+ "Q": [ 2593 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10652": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1734 ],
+ "Q": [ 2595 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10653": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1736 ],
+ "Q": [ 2597 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10654": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1738 ],
+ "Q": [ 2599 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10655": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1740 ],
+ "Q": [ 2601 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10656": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1742 ],
+ "Q": [ 2603 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10657": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1744 ],
+ "Q": [ 2547 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10658": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1746 ],
+ "Q": [ 2550 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10659": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1748 ],
+ "Q": [ 2552 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10660": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1750 ],
+ "Q": [ 2554 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10661": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1752 ],
+ "Q": [ 2556 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10662": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1754 ],
+ "Q": [ 2558 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10663": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1756 ],
+ "Q": [ 2560 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10664": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1758 ],
+ "Q": [ 2562 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10665": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1760 ],
+ "Q": [ 2564 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10666": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1762 ],
+ "Q": [ 2566 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10667": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1764 ],
+ "Q": [ 2568 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10668": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1766 ],
+ "Q": [ 2570 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10669": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1768 ],
+ "Q": [ 2572 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10670": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1770 ],
+ "Q": [ 2574 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10671": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1772 ],
+ "Q": [ 2576 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10672": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1774 ],
+ "Q": [ 2578 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10673": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1776 ],
+ "Q": [ 2580 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10674": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1778 ],
+ "Q": [ 2582 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10675": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1780 ],
+ "Q": [ 2584 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10676": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1782 ],
+ "Q": [ 2586 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10677": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1784 ],
+ "Q": [ 2588 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10678": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1786 ],
+ "Q": [ 2590 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10679": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 401 ],
+ "Q": [ 416 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10680": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2799 ],
+ "E": [ 412 ],
+ "Q": [ 2798 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10681": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2800 ],
+ "E": [ 412 ],
+ "Q": [ 2799 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10682": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2801 ],
+ "E": [ 412 ],
+ "Q": [ 2800 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10683": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2802 ],
+ "E": [ 412 ],
+ "Q": [ 2801 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10684": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2803 ],
+ "E": [ 412 ],
+ "Q": [ 2802 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10685": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2804 ],
+ "E": [ 412 ],
+ "Q": [ 2803 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10686": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2805 ],
+ "E": [ 412 ],
+ "Q": [ 2804 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10687": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 401 ],
+ "E": [ 412 ],
+ "Q": [ 2805 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10688": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1721 ],
+ "E": [ 417 ],
+ "Q": [ 406 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10689": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1722 ],
+ "E": [ 415 ],
+ "Q": [ 404 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10690": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1724 ],
+ "E": [ 417 ],
+ "Q": [ 405 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10691": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1726 ],
+ "E": [ 417 ],
+ "Q": [ 407 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10692": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1719 ],
+ "Q": [ 402 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10693": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2480 ],
+ "E": [ 451 ],
+ "Q": [ 428 ],
+ "R": [ 422 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10694": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2280 ],
+ "E": [ 452 ],
+ "Q": [ 429 ],
+ "R": [ 422 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10695": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2482 ],
+ "E": [ 451 ],
+ "Q": [ 427 ],
+ "R": [ 422 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10696": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2483 ],
+ "E": [ 454 ],
+ "Q": [ 441 ],
+ "R": [ 421 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10697": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2281 ],
+ "E": [ 456 ],
+ "Q": [ 438 ],
+ "R": [ 421 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10698": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2485 ],
+ "E": [ 454 ],
+ "Q": [ 443 ],
+ "R": [ 421 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10699": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 619 ],
+ "E": [ 421 ],
+ "Q": [ 1407 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10700": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 618 ],
+ "E": [ 421 ],
+ "Q": [ 1406 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10701": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 625 ],
+ "E": [ 421 ],
+ "Q": [ 1409 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10702": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 626 ],
+ "E": [ 421 ],
+ "Q": [ 1410 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10703": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 627 ],
+ "E": [ 421 ],
+ "Q": [ 1411 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10704": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 622 ],
+ "E": [ 421 ],
+ "Q": [ 1412 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10705": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 623 ],
+ "E": [ 421 ],
+ "Q": [ 1413 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10706": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 624 ],
+ "E": [ 421 ],
+ "Q": [ 1414 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10707": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 619 ],
+ "E": [ 789 ],
+ "Q": [ 440 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10708": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 618 ],
+ "E": [ 789 ],
+ "Q": [ 439 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10709": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 625 ],
+ "E": [ 789 ],
+ "Q": [ 2692 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10710": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 626 ],
+ "E": [ 789 ],
+ "Q": [ 2694 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10711": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 627 ],
+ "E": [ 789 ],
+ "Q": [ 2696 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10712": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 622 ],
+ "E": [ 789 ],
+ "Q": [ 2698 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10713": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 623 ],
+ "E": [ 789 ],
+ "Q": [ 2700 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10714": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 624 ],
+ "E": [ 789 ],
+ "Q": [ 2702 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10715": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 619 ],
+ "E": [ 430 ],
+ "Q": [ 2604 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10716": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 618 ],
+ "E": [ 430 ],
+ "Q": [ 2627 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10717": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 625 ],
+ "E": [ 430 ],
+ "Q": [ 2646 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10718": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 626 ],
+ "E": [ 430 ],
+ "Q": [ 2648 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10719": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 627 ],
+ "E": [ 430 ],
+ "Q": [ 2650 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10720": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 622 ],
+ "E": [ 430 ],
+ "Q": [ 2652 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10721": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 623 ],
+ "E": [ 430 ],
+ "Q": [ 2654 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10722": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 624 ],
+ "E": [ 430 ],
+ "Q": [ 2656 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10723": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2604 ],
+ "E": [ 430 ],
+ "Q": [ 2658 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10724": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2627 ],
+ "E": [ 430 ],
+ "Q": [ 2660 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10725": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2646 ],
+ "E": [ 430 ],
+ "Q": [ 2606 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10726": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2648 ],
+ "E": [ 430 ],
+ "Q": [ 2609 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10727": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2650 ],
+ "E": [ 430 ],
+ "Q": [ 2611 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10728": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2652 ],
+ "E": [ 430 ],
+ "Q": [ 2613 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10729": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2654 ],
+ "E": [ 430 ],
+ "Q": [ 2615 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10730": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2656 ],
+ "E": [ 430 ],
+ "Q": [ 2617 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10731": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2658 ],
+ "E": [ 430 ],
+ "Q": [ 2619 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10732": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2660 ],
+ "E": [ 430 ],
+ "Q": [ 2621 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10733": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2606 ],
+ "E": [ 430 ],
+ "Q": [ 2623 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10734": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2609 ],
+ "E": [ 430 ],
+ "Q": [ 2625 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10735": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2611 ],
+ "E": [ 430 ],
+ "Q": [ 2629 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10736": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2613 ],
+ "E": [ 430 ],
+ "Q": [ 2631 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10737": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2615 ],
+ "E": [ 430 ],
+ "Q": [ 2634 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10738": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2617 ],
+ "E": [ 430 ],
+ "Q": [ 2636 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10739": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2619 ],
+ "E": [ 430 ],
+ "Q": [ 2638 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10740": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2621 ],
+ "E": [ 430 ],
+ "Q": [ 2640 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10741": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2623 ],
+ "E": [ 430 ],
+ "Q": [ 2641 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10742": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2625 ],
+ "E": [ 430 ],
+ "Q": [ 2643 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10743": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2629 ],
+ "E": [ 430 ],
+ "Q": [ 2645 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10747": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1598 ],
+ "E": [ 458 ],
+ "Q": [ 1631 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10748": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1604 ],
+ "E": [ 458 ],
+ "Q": [ 1635 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10749": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1608 ],
+ "E": [ 458 ],
+ "Q": [ 1639 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10750": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1612 ],
+ "E": [ 458 ],
+ "Q": [ 1643 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10751": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1616 ],
+ "E": [ 458 ],
+ "Q": [ 1647 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10752": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1620 ],
+ "E": [ 458 ],
+ "Q": [ 1651 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10753": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1624 ],
+ "E": [ 458 ],
+ "Q": [ 1655 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10754": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1628 ],
+ "E": [ 458 ],
+ "Q": [ 1659 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10755": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1633 ],
+ "E": [ 458 ],
+ "Q": [ 1663 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10756": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1637 ],
+ "E": [ 458 ],
+ "Q": [ 1667 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10757": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1641 ],
+ "E": [ 458 ],
+ "Q": [ 1671 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10758": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1645 ],
+ "E": [ 458 ],
+ "Q": [ 1675 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10759": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1649 ],
+ "E": [ 458 ],
+ "Q": [ 1679 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10760": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1653 ],
+ "E": [ 458 ],
+ "Q": [ 1683 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10761": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1657 ],
+ "E": [ 458 ],
+ "Q": [ 1687 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10762": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1661 ],
+ "E": [ 458 ],
+ "Q": [ 1691 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10763": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1665 ],
+ "E": [ 458 ],
+ "Q": [ 1694 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10764": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1669 ],
+ "E": [ 458 ],
+ "Q": [ 1697 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10765": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1673 ],
+ "E": [ 458 ],
+ "Q": [ 1700 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10766": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1677 ],
+ "E": [ 458 ],
+ "Q": [ 1703 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10767": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1681 ],
+ "E": [ 458 ],
+ "Q": [ 1706 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10768": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1685 ],
+ "E": [ 458 ],
+ "Q": [ 1709 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10769": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1689 ],
+ "E": [ 458 ],
+ "Q": [ 1712 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10770": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1693 ],
+ "E": [ 458 ],
+ "Q": [ 1715 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10771": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1696 ],
+ "E": [ 458 ],
+ "Q": [ 1801 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10772": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1699 ],
+ "E": [ 458 ],
+ "Q": [ 1808 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10773": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1702 ],
+ "E": [ 458 ],
+ "Q": [ 1814 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10774": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1705 ],
+ "E": [ 458 ],
+ "Q": [ 1820 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10775": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1708 ],
+ "E": [ 458 ],
+ "Q": [ 1826 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10776": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1711 ],
+ "E": [ 458 ],
+ "Q": [ 1832 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10777": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1714 ],
+ "E": [ 458 ],
+ "Q": [ 1838 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10778": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1717 ],
+ "E": [ 458 ],
+ "Q": [ 1843 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10779": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1569 ],
+ "E": [ 460 ],
+ "Q": [ 484 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10780": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1570 ],
+ "E": [ 488 ],
+ "Q": [ 485 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10781": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1572 ],
+ "E": [ 460 ],
+ "Q": [ 486 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10782": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1574 ],
+ "E": [ 460 ],
+ "Q": [ 487 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10783": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1576 ],
+ "E": [ 460 ],
+ "Q": [ 480 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10784": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1578 ],
+ "E": [ 460 ],
+ "Q": [ 481 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10785": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1580 ],
+ "E": [ 460 ],
+ "Q": [ 482 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10786": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1582 ],
+ "E": [ 460 ],
+ "Q": [ 483 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10787": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1584 ],
+ "E": [ 460 ],
+ "Q": [ 476 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10788": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 58 ],
+ "E": [ 460 ],
+ "Q": [ 466 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10789": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1586 ],
+ "E": [ 460 ],
+ "Q": [ 477 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10790": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 63 ],
+ "E": [ 460 ],
+ "Q": [ 467 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10791": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1588 ],
+ "E": [ 460 ],
+ "Q": [ 478 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10792": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 65 ],
+ "E": [ 460 ],
+ "Q": [ 468 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10793": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 67 ],
+ "E": [ 460 ],
+ "Q": [ 469 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10794": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1590 ],
+ "E": [ 460 ],
+ "Q": [ 479 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10795": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1592 ],
+ "E": [ 460 ],
+ "Q": [ 472 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10796": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1594 ],
+ "E": [ 460 ],
+ "Q": [ 473 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10797": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1596 ],
+ "E": [ 460 ],
+ "Q": [ 474 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10798": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 69 ],
+ "E": [ 460 ],
+ "Q": [ 470 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10799": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 71 ],
+ "E": [ 460 ],
+ "Q": [ 471 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10800": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 881 ],
+ "Q": [ 507 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10801": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 880 ],
+ "Q": [ 786 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10802": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 881 ],
+ "Q": [ 787 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10804": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 883 ],
+ "Q": [ 1485 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10805": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1566 ],
+ "Q": [ 1396 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10806": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1567 ],
+ "Q": [ 1395 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10807": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1397 ],
+ "Q": [ 514 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10808": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 137 ],
+ "Q": [ 2154 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10809": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 136 ],
+ "Q": [ 2152 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10810": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 135 ],
+ "Q": [ 2806 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10811": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 514 ],
+ "Q": [ 588 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10812": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2156 ],
+ "Q": [ 861 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10813": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2806 ],
+ "Q": [ 2807 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10814": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2237 ],
+ "Q": [ 841 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10815": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 861 ],
+ "Q": [ 842 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10816": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2807 ],
+ "Q": [ 849 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10817": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2238 ],
+ "Q": [ 2808 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10818": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 841 ],
+ "Q": [ 491 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10819": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 842 ],
+ "Q": [ 915 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10820": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2808 ],
+ "Q": [ 503 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10821": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 856 ],
+ "Q": [ 494 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10822": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 852 ],
+ "Q": [ 2809 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10823": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2151 ],
+ "Q": [ 2810 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10824": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2809 ],
+ "Q": [ 490 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10825": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2810 ],
+ "Q": [ 2811 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10826": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1552 ],
+ "E": [ 493 ],
+ "Q": [ 489 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10827": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1553 ],
+ "E": [ 493 ],
+ "Q": [ 501 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10828": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1555 ],
+ "E": [ 493 ],
+ "Q": [ 500 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10829": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1557 ],
+ "E": [ 493 ],
+ "Q": [ 1554 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10830": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1559 ],
+ "E": [ 493 ],
+ "Q": [ 1556 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10831": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1561 ],
+ "E": [ 493 ],
+ "Q": [ 1558 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10832": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1563 ],
+ "E": [ 493 ],
+ "Q": [ 1560 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10833": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1565 ],
+ "E": [ 493 ],
+ "Q": [ 1562 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10834": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1516 ],
+ "E": [ 493 ],
+ "Q": [ 1564 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10835": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1521 ],
+ "E": [ 496 ],
+ "Q": [ 495 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10836": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1523 ],
+ "E": [ 496 ],
+ "Q": [ 1520 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10837": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1525 ],
+ "E": [ 496 ],
+ "Q": [ 1522 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10838": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1527 ],
+ "E": [ 496 ],
+ "Q": [ 1524 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10839": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1529 ],
+ "E": [ 496 ],
+ "Q": [ 1526 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10840": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1531 ],
+ "E": [ 496 ],
+ "Q": [ 1528 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10841": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1533 ],
+ "E": [ 496 ],
+ "Q": [ 1530 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10842": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1535 ],
+ "E": [ 496 ],
+ "Q": [ 1532 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10843": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1537 ],
+ "E": [ 496 ],
+ "Q": [ 1534 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10844": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1539 ],
+ "E": [ 496 ],
+ "Q": [ 1536 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10845": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1541 ],
+ "E": [ 496 ],
+ "Q": [ 1538 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10846": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1543 ],
+ "E": [ 496 ],
+ "Q": [ 1540 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10847": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1545 ],
+ "E": [ 496 ],
+ "Q": [ 1542 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10848": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1547 ],
+ "E": [ 496 ],
+ "Q": [ 1544 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10849": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1549 ],
+ "E": [ 496 ],
+ "Q": [ 1546 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10850": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1551 ],
+ "E": [ 496 ],
+ "Q": [ 1548 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10851": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1516 ],
+ "E": [ 496 ],
+ "Q": [ 1550 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10852": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 934 ],
+ "E": [ 496 ],
+ "Q": [ 757 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10853": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 933 ],
+ "E": [ 496 ],
+ "Q": [ 758 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10854": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 932 ],
+ "E": [ 496 ],
+ "Q": [ 763 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10855": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1499 ],
+ "E": [ 496 ],
+ "Q": [ 762 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10856": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 931 ],
+ "E": [ 496 ],
+ "Q": [ 761 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10857": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 760 ],
+ "Q": [ 2330 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10858": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1501 ],
+ "E": [ 499 ],
+ "Q": [ 498 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10859": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1503 ],
+ "E": [ 499 ],
+ "Q": [ 1500 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10860": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1505 ],
+ "E": [ 499 ],
+ "Q": [ 1502 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10861": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1507 ],
+ "E": [ 499 ],
+ "Q": [ 1504 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10862": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1509 ],
+ "E": [ 499 ],
+ "Q": [ 1506 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10863": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1511 ],
+ "E": [ 499 ],
+ "Q": [ 1508 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10864": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1513 ],
+ "E": [ 499 ],
+ "Q": [ 1510 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10865": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1515 ],
+ "E": [ 499 ],
+ "Q": [ 1512 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10866": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1517 ],
+ "E": [ 499 ],
+ "Q": [ 1514 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10867": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 930 ],
+ "E": [ 502 ],
+ "Q": [ 779 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10868": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 929 ],
+ "E": [ 502 ],
+ "Q": [ 773 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10869": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 928 ],
+ "E": [ 502 ],
+ "Q": [ 778 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10870": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 927 ],
+ "E": [ 502 ],
+ "Q": [ 777 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10871": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 926 ],
+ "E": [ 502 ],
+ "Q": [ 774 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10872": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 925 ],
+ "E": [ 502 ],
+ "Q": [ 775 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10873": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 924 ],
+ "E": [ 502 ],
+ "Q": [ 776 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10874": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 923 ],
+ "E": [ 502 ],
+ "Q": [ 769 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10875": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 922 ],
+ "E": [ 502 ],
+ "Q": [ 770 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10876": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 921 ],
+ "E": [ 502 ],
+ "Q": [ 771 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10877": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 920 ],
+ "E": [ 502 ],
+ "Q": [ 772 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10878": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 919 ],
+ "E": [ 502 ],
+ "Q": [ 781 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10879": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 918 ],
+ "E": [ 502 ],
+ "Q": [ 782 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10880": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 917 ],
+ "E": [ 502 ],
+ "Q": [ 783 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10881": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1481 ],
+ "E": [ 502 ],
+ "Q": [ 784 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10882": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 916 ],
+ "E": [ 502 ],
+ "Q": [ 780 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10883": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 768 ],
+ "Q": [ 2812 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10884": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 494 ],
+ "E": [ 504 ],
+ "Q": [ 2333 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10885": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 498 ],
+ "Q": [ 505 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10886": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2812 ],
+ "E": [ 506 ],
+ "Q": [ 2239 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10887": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 501 ],
+ "Q": [ 2813 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10888": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 500 ],
+ "Q": [ 2814 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10889": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1554 ],
+ "Q": [ 2815 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10890": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1556 ],
+ "Q": [ 2816 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10891": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2334 ],
+ "Q": [ 2317 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10892": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2811 ],
+ "Q": [ 192 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10893": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1491 ],
+ "E": [ 511 ],
+ "Q": [ 1387 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10894": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1492 ],
+ "E": [ 511 ],
+ "Q": [ 524 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10895": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1493 ],
+ "E": [ 511 ],
+ "Q": [ 525 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10896": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1494 ],
+ "E": [ 511 ],
+ "Q": [ 526 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10897": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1495 ],
+ "E": [ 511 ],
+ "Q": [ 527 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10898": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1496 ],
+ "E": [ 511 ],
+ "Q": [ 528 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10899": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1497 ],
+ "E": [ 511 ],
+ "Q": [ 521 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10900": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1498 ],
+ "E": [ 511 ],
+ "Q": [ 522 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10901": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 507 ],
+ "E": [ 511 ],
+ "Q": [ 523 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10902": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 517 ],
+ "E": [ 518 ],
+ "Q": [ 834 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10903": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1482 ],
+ "E": [ 530 ],
+ "Q": [ 1391 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10904": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1483 ],
+ "E": [ 530 ],
+ "Q": [ 538 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10905": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1484 ],
+ "E": [ 530 ],
+ "Q": [ 539 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10906": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1486 ],
+ "E": [ 530 ],
+ "Q": [ 540 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10907": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1487 ],
+ "E": [ 530 ],
+ "Q": [ 541 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10908": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1488 ],
+ "E": [ 530 ],
+ "Q": [ 542 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10909": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1489 ],
+ "E": [ 530 ],
+ "Q": [ 535 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10910": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1490 ],
+ "E": [ 530 ],
+ "Q": [ 536 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10911": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 507 ],
+ "E": [ 530 ],
+ "Q": [ 537 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10912": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 531 ],
+ "E": [ 532 ],
+ "Q": [ 561 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10913": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 552 ],
+ "E": [ 544 ],
+ "Q": [ 884 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10914": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 553 ],
+ "E": [ 544 ],
+ "Q": [ 552 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10915": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 554 ],
+ "E": [ 544 ],
+ "Q": [ 553 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10916": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 555 ],
+ "E": [ 544 ],
+ "Q": [ 554 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10917": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 556 ],
+ "E": [ 544 ],
+ "Q": [ 555 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10918": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 549 ],
+ "E": [ 544 ],
+ "Q": [ 556 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10919": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 550 ],
+ "E": [ 544 ],
+ "Q": [ 549 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10920": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 551 ],
+ "E": [ 544 ],
+ "Q": [ 550 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10921": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ "0" ],
+ "E": [ 544 ],
+ "Q": [ 551 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10922": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ "0" ],
+ "E": [ 548 ],
+ "Q": [ 564 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10923": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 914 ],
+ "E": [ 557 ],
+ "Q": [ 912 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10924": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 913 ],
+ "E": [ 557 ],
+ "Q": [ 910 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10925": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 911 ],
+ "E": [ 557 ],
+ "Q": [ 908 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10926": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 909 ],
+ "E": [ 557 ],
+ "Q": [ 906 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10927": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 907 ],
+ "E": [ 557 ],
+ "Q": [ 904 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10928": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 905 ],
+ "E": [ 557 ],
+ "Q": [ 902 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10929": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 903 ],
+ "E": [ 557 ],
+ "Q": [ 900 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10930": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 901 ],
+ "E": [ 557 ],
+ "Q": [ 898 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10931": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 899 ],
+ "E": [ 557 ],
+ "Q": [ 896 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10932": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 897 ],
+ "E": [ 557 ],
+ "Q": [ 894 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10933": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 895 ],
+ "E": [ 557 ],
+ "Q": [ 892 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10934": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 893 ],
+ "E": [ 557 ],
+ "Q": [ 890 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10935": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 891 ],
+ "E": [ 557 ],
+ "Q": [ 888 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10936": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 889 ],
+ "E": [ 557 ],
+ "Q": [ 1456 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10937": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1457 ],
+ "E": [ 557 ],
+ "Q": [ 886 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10938": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 887 ],
+ "E": [ 557 ],
+ "Q": [ 885 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10939": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1465 ],
+ "E": [ 560 ],
+ "Q": [ 1392 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10940": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1466 ],
+ "E": [ 560 ],
+ "Q": [ 583 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10941": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1467 ],
+ "E": [ 560 ],
+ "Q": [ 576 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10942": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1468 ],
+ "E": [ 560 ],
+ "Q": [ 577 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10943": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1469 ],
+ "E": [ 560 ],
+ "Q": [ 578 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10944": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1470 ],
+ "E": [ 560 ],
+ "Q": [ 579 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10945": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1471 ],
+ "E": [ 560 ],
+ "Q": [ 572 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10946": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1472 ],
+ "E": [ 560 ],
+ "Q": [ 573 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10947": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1473 ],
+ "E": [ 560 ],
+ "Q": [ 574 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10948": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1474 ],
+ "E": [ 560 ],
+ "Q": [ 575 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10949": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1475 ],
+ "E": [ 560 ],
+ "Q": [ 584 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10950": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1476 ],
+ "E": [ 560 ],
+ "Q": [ 585 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10951": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1477 ],
+ "E": [ 560 ],
+ "Q": [ 586 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10952": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1478 ],
+ "E": [ 560 ],
+ "Q": [ 587 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10953": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1479 ],
+ "E": [ 560 ],
+ "Q": [ 580 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10954": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1480 ],
+ "E": [ 560 ],
+ "Q": [ 581 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10955": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 558 ],
+ "E": [ 560 ],
+ "Q": [ 582 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10956": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 565 ],
+ "E": [ 566 ],
+ "Q": [ 837 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10957": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 788 ],
+ "E": [ 508 ],
+ "Q": [ 562 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10958": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 141 ],
+ "Q": [ 2817 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10959": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1390 ],
+ "Q": [ 827 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10960": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1393 ],
+ "Q": [ 2818 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10961": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2241 ],
+ "E": [ 589 ],
+ "Q": [ 821 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10962": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2818 ],
+ "E": [ 589 ],
+ "Q": [ 819 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10963": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2817 ],
+ "E": [ 589 ],
+ "Q": [ 629 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10964": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 142 ],
+ "Q": [ 2819 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10965": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 144 ],
+ "Q": [ 2820 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10966": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 147 ],
+ "Q": [ 2821 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10967": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2819 ],
+ "Q": [ 2822 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10968": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2820 ],
+ "Q": [ 2823 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10969": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2821 ],
+ "Q": [ 2824 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10970": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2813 ],
+ "E": [ 591 ],
+ "Q": [ 631 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10971": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2814 ],
+ "E": [ 591 ],
+ "Q": [ 638 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10972": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2815 ],
+ "E": [ 591 ],
+ "Q": [ 636 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10973": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2816 ],
+ "E": [ 591 ],
+ "Q": [ 637 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10974": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2317 ],
+ "Q": [ 590 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10975": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 349 ],
+ "E": [ 593 ],
+ "Q": [ 12 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10976": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 40 ],
+ "E": [ 593 ],
+ "Q": [ 11 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10977": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 36 ],
+ "E": [ 593 ],
+ "Q": [ 1141 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10978": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 17 ],
+ "E": [ 593 ],
+ "Q": [ 1143 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10979": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 42 ],
+ "E": [ 593 ],
+ "Q": [ 1145 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10980": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 38 ],
+ "E": [ 593 ],
+ "Q": [ 1147 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10981": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 34 ],
+ "E": [ 593 ],
+ "Q": [ 1149 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10982": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2796 ],
+ "E": [ 593 ],
+ "Q": [ 1151 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10983": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 349 ],
+ "E": [ 596 ],
+ "Q": [ 1309 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10984": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 349 ],
+ "E": [ 598 ],
+ "Q": [ 1315 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10985": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 349 ],
+ "E": [ 599 ],
+ "Q": [ 2346 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10986": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 40 ],
+ "E": [ 599 ],
+ "Q": [ 1340 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10987": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 349 ],
+ "E": [ 600 ],
+ "Q": [ 2328 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10988": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 40 ],
+ "E": [ 600 ],
+ "Q": [ 1341 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10989": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2244 ],
+ "Q": [ 1293 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10990": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2245 ],
+ "Q": [ 1302 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10991": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2246 ],
+ "Q": [ 1304 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10992": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2247 ],
+ "Q": [ 1299 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10993": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2150 ],
+ "Q": [ 1298 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10994": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2143 ],
+ "Q": [ 188 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10995": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2144 ],
+ "Q": [ 156 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10996": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2146 ],
+ "Q": [ 191 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10997": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2148 ],
+ "Q": [ 186 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$10999": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1459 ],
+ "E": [ 601 ],
+ "Q": [ 164 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11000": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1460 ],
+ "E": [ 602 ],
+ "Q": [ 168 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11001": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1462 ],
+ "E": [ 601 ],
+ "Q": [ 169 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11002": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1464 ],
+ "E": [ 601 ],
+ "Q": [ 170 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11003": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 74 ],
+ "E": [ 601 ],
+ "Q": [ 165 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11004": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 349 ],
+ "E": [ 603 ],
+ "Q": [ 1291 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11005": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 40 ],
+ "E": [ 603 ],
+ "Q": [ 1343 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11006": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 36 ],
+ "E": [ 603 ],
+ "Q": [ 1354 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11007": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 17 ],
+ "E": [ 603 ],
+ "Q": [ 1361 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11008": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 42 ],
+ "E": [ 603 ],
+ "Q": [ 1368 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11009": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 38 ],
+ "E": [ 603 ],
+ "Q": [ 1373 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11010": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 34 ],
+ "E": [ 603 ],
+ "Q": [ 1379 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11011": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2796 ],
+ "E": [ 603 ],
+ "Q": [ 1384 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11012": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 349 ],
+ "E": [ 604 ],
+ "Q": [ 1314 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11013": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 40 ],
+ "E": [ 604 ],
+ "Q": [ 1342 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11014": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 36 ],
+ "E": [ 604 ],
+ "Q": [ 1356 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11015": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 17 ],
+ "E": [ 604 ],
+ "Q": [ 1363 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11016": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 42 ],
+ "E": [ 604 ],
+ "Q": [ 1369 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11017": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 38 ],
+ "E": [ 604 ],
+ "Q": [ 1374 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11018": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 34 ],
+ "E": [ 604 ],
+ "Q": [ 1380 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11019": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2796 ],
+ "E": [ 604 ],
+ "Q": [ 1386 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11020": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 349 ],
+ "E": [ 605 ],
+ "Q": [ 1290 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11021": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 349 ],
+ "E": [ 607 ],
+ "Q": [ 2292 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11022": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 40 ],
+ "E": [ 607 ],
+ "Q": [ 803 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11023": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 36 ],
+ "E": [ 607 ],
+ "Q": [ 1355 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11024": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 17 ],
+ "E": [ 607 ],
+ "Q": [ 1362 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11025": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 42 ],
+ "E": [ 607 ],
+ "Q": [ 800 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11026": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 38 ],
+ "E": [ 607 ],
+ "Q": [ 807 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11027": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 34 ],
+ "E": [ 607 ],
+ "Q": [ 808 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11028": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2796 ],
+ "E": [ 607 ],
+ "Q": [ 1385 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11029": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 349 ],
+ "E": [ 610 ],
+ "Q": [ 2301 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11030": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 349 ],
+ "E": [ 612 ],
+ "Q": [ 1313 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11031": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 40 ],
+ "E": [ 612 ],
+ "Q": [ 1339 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11032": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 36 ],
+ "E": [ 612 ],
+ "Q": [ 1353 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11033": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 17 ],
+ "E": [ 612 ],
+ "Q": [ 1360 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11034": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 42 ],
+ "E": [ 612 ],
+ "Q": [ 1367 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11035": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 38 ],
+ "E": [ 612 ],
+ "Q": [ 713 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11036": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 34 ],
+ "E": [ 612 ],
+ "Q": [ 1378 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11037": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2796 ],
+ "E": [ 612 ],
+ "Q": [ 710 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11038": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 349 ],
+ "E": [ 615 ],
+ "Q": [ 1312 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11039": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2248 ],
+ "Q": [ 1318 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11040": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2249 ],
+ "Q": [ 1324 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11041": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2125 ],
+ "Q": [ 1321 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11042": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2121 ],
+ "Q": [ 2118 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11043": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2123 ],
+ "Q": [ 2122 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11045": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1400 ],
+ "E": [ 617 ],
+ "Q": [ 59 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11046": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1416 ],
+ "E": [ 617 ],
+ "Q": [ 60 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11047": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1422 ],
+ "E": [ 617 ],
+ "Q": [ 61 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11048": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 872 ],
+ "E": [ "1" ],
+ "Q": [ 133 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11049": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 875 ],
+ "E": [ "1" ],
+ "Q": [ 134 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11050": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 877 ],
+ "E": [ "1" ],
+ "Q": [ 132 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11051": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2155 ],
+ "Q": [ 2153 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11052": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 863 ],
+ "Q": [ 862 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11053": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 867 ],
+ "Q": [ 864 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11054": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 869 ],
+ "Q": [ 865 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11055": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 845 ],
+ "Q": [ 847 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11056": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 854 ],
+ "Q": [ 850 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11057": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 859 ],
+ "Q": [ 851 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11058": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 833 ],
+ "E": [ 628 ],
+ "Q": [ 138 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11059": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2327 ],
+ "E": [ 628 ],
+ "Q": [ 139 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11060": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 840 ],
+ "E": [ 628 ],
+ "Q": [ 140 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11061": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 828 ],
+ "Q": [ 512 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11062": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2324 ],
+ "Q": [ 515 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11063": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 830 ],
+ "Q": [ 516 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11064": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 818 ],
+ "E": [ 630 ],
+ "Q": [ 143 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11065": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2322 ],
+ "E": [ 630 ],
+ "Q": [ 146 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11066": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 826 ],
+ "E": [ 630 ],
+ "Q": [ 145 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11067": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2319 ],
+ "E": [ 634 ],
+ "Q": [ 639 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11068": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 813 ],
+ "E": [ 634 ],
+ "Q": [ 640 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11069": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 814 ],
+ "E": [ 634 ],
+ "Q": [ 641 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11070": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 197 ],
+ "E": [ 642 ],
+ "Q": [ 193 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11071": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 197 ],
+ "E": [ 642 ],
+ "Q": [ 194 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11072": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 196 ],
+ "E": [ 642 ],
+ "Q": [ 195 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11073": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 265 ],
+ "Q": [ 1601 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11074": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 369 ],
+ "Q": [ 1599 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11075": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1426 ],
+ "E": [ "1" ],
+ "Q": [ 85 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11076": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1427 ],
+ "E": [ 643 ],
+ "Q": [ 103 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11077": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1429 ],
+ "E": [ "1" ],
+ "Q": [ 104 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11078": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1431 ],
+ "E": [ "1" ],
+ "Q": [ 105 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11079": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1433 ],
+ "E": [ "1" ],
+ "Q": [ 106 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11080": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1435 ],
+ "E": [ "1" ],
+ "Q": [ 99 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11081": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1437 ],
+ "E": [ "1" ],
+ "Q": [ 100 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11082": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1439 ],
+ "E": [ "1" ],
+ "Q": [ 101 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11083": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1441 ],
+ "E": [ "1" ],
+ "Q": [ 102 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11084": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1443 ],
+ "E": [ "1" ],
+ "Q": [ 95 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11085": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1445 ],
+ "E": [ "1" ],
+ "Q": [ 96 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11086": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1447 ],
+ "E": [ "1" ],
+ "Q": [ 97 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11087": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1449 ],
+ "E": [ "1" ],
+ "Q": [ 98 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11088": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1451 ],
+ "E": [ "1" ],
+ "Q": [ 92 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11089": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1453 ],
+ "E": [ "1" ],
+ "Q": [ 93 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11090": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1455 ],
+ "E": [ "1" ],
+ "Q": [ 94 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11091": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 79 ],
+ "E": [ "1" ],
+ "Q": [ 87 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11092": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2351 ],
+ "Q": [ 2172 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11093": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1334 ],
+ "Q": [ 2182 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11094": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1352 ],
+ "Q": [ 2192 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11095": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1359 ],
+ "Q": [ 2202 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11096": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1366 ],
+ "Q": [ 2210 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11097": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1372 ],
+ "Q": [ 2218 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11098": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1377 ],
+ "Q": [ 2226 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11099": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1383 ],
+ "Q": [ 2234 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11100": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1212 ],
+ "Q": [ 2173 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11101": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1223 ],
+ "Q": [ 2183 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11102": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1232 ],
+ "Q": [ 2193 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11103": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1242 ],
+ "Q": [ 2203 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11104": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1253 ],
+ "Q": [ 2211 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11105": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1262 ],
+ "Q": [ 2219 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11106": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1272 ],
+ "Q": [ 2227 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11107": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1282 ],
+ "Q": [ 2235 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11108": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 785 ],
+ "Q": [ 2171 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11109": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1157 ],
+ "Q": [ 2166 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11110": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1173 ],
+ "Q": [ 2177 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11111": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1184 ],
+ "Q": [ 2187 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11112": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1193 ],
+ "Q": [ 2197 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11113": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1197 ],
+ "Q": [ 2204 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11114": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1202 ],
+ "Q": [ 2212 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11115": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1207 ],
+ "Q": [ 2220 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11116": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1209 ],
+ "Q": [ 2228 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11117": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1139 ],
+ "Q": [ 2167 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11118": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1140 ],
+ "Q": [ 2178 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11119": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1142 ],
+ "Q": [ 2188 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11120": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1144 ],
+ "Q": [ 2198 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11121": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1146 ],
+ "Q": [ 2205 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11122": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1148 ],
+ "Q": [ 2213 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11123": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1150 ],
+ "Q": [ 2221 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11124": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1152 ],
+ "Q": [ 2229 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11125": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1134 ],
+ "Q": [ 2168 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11126": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1135 ],
+ "Q": [ 2179 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11127": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1136 ],
+ "Q": [ 2189 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11128": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1138 ],
+ "Q": [ 2199 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11133": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 962 ],
+ "Q": [ 2169 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11134": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 989 ],
+ "Q": [ 2180 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11135": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1010 ],
+ "Q": [ 2190 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11136": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1031 ],
+ "Q": [ 2200 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11137": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1052 ],
+ "Q": [ 2206 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11138": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1072 ],
+ "Q": [ 2214 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11139": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1092 ],
+ "Q": [ 2222 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11140": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1114 ],
+ "Q": [ 2230 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11141": {
+ "hide_name": 1,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 7 ],
+ "Q": [ 2825 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11142": {
+ "hide_name": 1,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2825 ],
+ "Q": [ 401 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11143": {
+ "hide_name": 1,
+ "type": "SB_DFFSS",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "S": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2826 ],
+ "Q": [ 2827 ],
+ "S": [ 2824 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11144": {
+ "hide_name": 1,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2827 ],
+ "Q": [ 2828 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11145": {
+ "hide_name": 1,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2828 ],
+ "Q": [ 873 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11146": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2829 ],
+ "Q": [ 2830 ],
+ "R": [ 2824 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11147": {
+ "hide_name": 1,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2830 ],
+ "Q": [ 2831 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11148": {
+ "hide_name": 1,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2831 ],
+ "Q": [ 871 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11149": {
+ "hide_name": 1,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 175 ],
+ "Q": [ 2832 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11150": {
+ "hide_name": 1,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2832 ],
+ "Q": [ 1306 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11151": {
+ "hide_name": 1,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 180 ],
+ "Q": [ 2833 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11152": {
+ "hide_name": 1,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 179 ],
+ "Q": [ 2834 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11153": {
+ "hide_name": 1,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 182 ],
+ "Q": [ 2835 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11154": {
+ "hide_name": 1,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 171 ],
+ "Q": [ 2836 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11155": {
+ "hide_name": 1,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 176 ],
+ "Q": [ 2837 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11156": {
+ "hide_name": 1,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2833 ],
+ "Q": [ 1294 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11157": {
+ "hide_name": 1,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2834 ],
+ "Q": [ 1303 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11158": {
+ "hide_name": 1,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2835 ],
+ "Q": [ 1305 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11159": {
+ "hide_name": 1,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2836 ],
+ "Q": [ 1300 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11160": {
+ "hide_name": 1,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2837 ],
+ "Q": [ 1301 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11161": {
+ "hide_name": 1,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 719 ],
+ "Q": [ 2838 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11162": {
+ "hide_name": 1,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2838 ],
+ "Q": [ 2344 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11163": {
+ "hide_name": 1,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 722 ],
+ "Q": [ 2839 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11164": {
+ "hide_name": 1,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 717 ],
+ "Q": [ 2840 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11165": {
+ "hide_name": 1,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 721 ],
+ "Q": [ 2841 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11166": {
+ "hide_name": 1,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2839 ],
+ "Q": [ 1319 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11167": {
+ "hide_name": 1,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2840 ],
+ "Q": [ 1323 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11168": {
+ "hide_name": 1,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2639|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2841 ],
+ "Q": [ 1322 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11169": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 76 ],
+ "Q": [ 75 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11170": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2157 ],
+ "Q": [ 180 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11171": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2158 ],
+ "Q": [ 179 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11172": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2159 ],
+ "Q": [ 182 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11173": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2160 ],
+ "Q": [ 171 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11174": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2139 ],
+ "Q": [ 176 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11175": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2134 ],
+ "Q": [ 154 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11176": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2135 ],
+ "Q": [ 155 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11177": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2136 ],
+ "Q": [ 190 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11178": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2137 ],
+ "Q": [ 185 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11180": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2086 ],
+ "E": [ 645 ],
+ "Q": [ 703 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11181": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2087 ],
+ "E": [ 646 ],
+ "Q": [ 691 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11182": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2089 ],
+ "E": [ 645 ],
+ "Q": [ 695 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11183": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2091 ],
+ "E": [ 645 ],
+ "Q": [ 669 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11184": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2093 ],
+ "E": [ 645 ],
+ "Q": [ 673 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11185": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2095 ],
+ "E": [ 645 ],
+ "Q": [ 693 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11186": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2097 ],
+ "E": [ 645 ],
+ "Q": [ 671 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11187": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2099 ],
+ "E": [ 645 ],
+ "Q": [ 679 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11188": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2101 ],
+ "E": [ 645 ],
+ "Q": [ 697 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11189": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2103 ],
+ "E": [ 645 ],
+ "Q": [ 699 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11190": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2105 ],
+ "E": [ 645 ],
+ "Q": [ 705 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11191": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2107 ],
+ "E": [ 645 ],
+ "Q": [ 683 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11192": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2109 ],
+ "E": [ 645 ],
+ "Q": [ 675 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11193": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2111 ],
+ "E": [ 645 ],
+ "Q": [ 681 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11194": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2113 ],
+ "E": [ 645 ],
+ "Q": [ 685 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11195": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2115 ],
+ "E": [ 645 ],
+ "Q": [ 701 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11196": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 751 ],
+ "Q": [ 752 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11197": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 709 ],
+ "E": [ 725 ],
+ "Q": [ 708 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11198": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2063 ],
+ "E": [ 728 ],
+ "Q": [ 659 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11199": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2282 ],
+ "E": [ 729 ],
+ "Q": [ 660 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11200": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2066 ],
+ "E": [ 728 ],
+ "Q": [ 661 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11201": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2069 ],
+ "E": [ 728 ],
+ "Q": [ 662 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11202": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2072 ],
+ "E": [ 728 ],
+ "Q": [ 655 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11203": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2075 ],
+ "E": [ 728 ],
+ "Q": [ 656 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11204": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2078 ],
+ "E": [ 728 ],
+ "Q": [ 657 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11205": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2081 ],
+ "E": [ 728 ],
+ "Q": [ 658 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11206": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2084 ],
+ "E": [ 728 ],
+ "Q": [ 652 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11207": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2368 ],
+ "E": [ 730 ],
+ "Q": [ 731 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11208": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2276 ],
+ "E": [ 732 ],
+ "Q": [ 2275 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11209": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2371 ],
+ "E": [ 730 ],
+ "Q": [ 2370 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11210": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2374 ],
+ "E": [ 730 ],
+ "Q": [ 2373 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11211": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2377 ],
+ "E": [ 730 ],
+ "Q": [ 2376 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11212": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2380 ],
+ "E": [ 730 ],
+ "Q": [ 2379 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11213": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2383 ],
+ "E": [ 730 ],
+ "Q": [ 2382 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11214": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2386 ],
+ "E": [ 730 ],
+ "Q": [ 2385 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11215": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2387 ],
+ "E": [ 733 ],
+ "Q": [ 734 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11216": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2278 ],
+ "E": [ 735 ],
+ "Q": [ 2277 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11217": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2390 ],
+ "E": [ 733 ],
+ "Q": [ 2389 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11218": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2393 ],
+ "E": [ 733 ],
+ "Q": [ 2392 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11219": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2396 ],
+ "E": [ 733 ],
+ "Q": [ 2395 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11220": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2399 ],
+ "E": [ 733 ],
+ "Q": [ 2398 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11221": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2402 ],
+ "E": [ 733 ],
+ "Q": [ 2401 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11222": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2405 ],
+ "E": [ 733 ],
+ "Q": [ 2404 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11223": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2242 ],
+ "Q": [ 722 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11224": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2243 ],
+ "Q": [ 717 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11225": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2133 ],
+ "Q": [ 721 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11226": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2129 ],
+ "Q": [ 2126 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11227": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2131 ],
+ "Q": [ 2130 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11229": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2046 ],
+ "E": [ 737 ],
+ "Q": [ 738 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11230": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2047 ],
+ "E": [ 750 ],
+ "Q": [ 745 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11231": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2049 ],
+ "E": [ 737 ],
+ "Q": [ 746 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11232": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2051 ],
+ "E": [ 737 ],
+ "Q": [ 747 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11233": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2053 ],
+ "E": [ 737 ],
+ "Q": [ 748 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11234": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2055 ],
+ "E": [ 737 ],
+ "Q": [ 742 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11235": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2057 ],
+ "E": [ 737 ],
+ "Q": [ 743 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11236": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2059 ],
+ "E": [ 737 ],
+ "Q": [ 744 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11237": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 110 ],
+ "E": [ 737 ],
+ "Q": [ 740 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11238": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 798 ],
+ "E": [ 753 ],
+ "Q": [ 109 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11239": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 812 ],
+ "E": [ 753 ],
+ "Q": [ 107 ],
+ "R": [ 16 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11240": {
+ "hide_name": 1,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1309 ],
+ "Q": [ 2842 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11241": {
+ "hide_name": 1,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2842 ],
+ "Q": [ 648 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11242": {
+ "hide_name": 1,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1315 ],
+ "Q": [ 2843 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11243": {
+ "hide_name": 1,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2843 ],
+ "Q": [ 76 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11244": {
+ "hide_name": 1,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1293 ],
+ "Q": [ 2844 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11245": {
+ "hide_name": 1,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1302 ],
+ "Q": [ 2845 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11246": {
+ "hide_name": 1,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1304 ],
+ "Q": [ 2846 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11247": {
+ "hide_name": 1,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1299 ],
+ "Q": [ 2847 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11248": {
+ "hide_name": 1,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1298 ],
+ "Q": [ 2848 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11249": {
+ "hide_name": 1,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2844 ],
+ "Q": [ 181 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11250": {
+ "hide_name": 1,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2845 ],
+ "Q": [ 178 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11251": {
+ "hide_name": 1,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2846 ],
+ "Q": [ 183 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11252": {
+ "hide_name": 1,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2847 ],
+ "Q": [ 172 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11253": {
+ "hide_name": 1,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2848 ],
+ "Q": [ 177 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11254": {
+ "hide_name": 1,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1291 ],
+ "Q": [ 2849 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11255": {
+ "hide_name": 1,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1343 ],
+ "Q": [ 2850 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11256": {
+ "hide_name": 1,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1354 ],
+ "Q": [ 2851 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11257": {
+ "hide_name": 1,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1361 ],
+ "Q": [ 2852 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11258": {
+ "hide_name": 1,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1368 ],
+ "Q": [ 2853 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11259": {
+ "hide_name": 1,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1373 ],
+ "Q": [ 2854 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11260": {
+ "hide_name": 1,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1379 ],
+ "Q": [ 2855 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11261": {
+ "hide_name": 1,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1384 ],
+ "Q": [ 2856 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11262": {
+ "hide_name": 1,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1314 ],
+ "Q": [ 2857 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11263": {
+ "hide_name": 1,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1342 ],
+ "Q": [ 2858 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11264": {
+ "hide_name": 1,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1356 ],
+ "Q": [ 2859 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11265": {
+ "hide_name": 1,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1363 ],
+ "Q": [ 2860 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11266": {
+ "hide_name": 1,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1369 ],
+ "Q": [ 2861 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11267": {
+ "hide_name": 1,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1374 ],
+ "Q": [ 2862 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11268": {
+ "hide_name": 1,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1380 ],
+ "Q": [ 2863 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11269": {
+ "hide_name": 1,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1386 ],
+ "Q": [ 2864 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11270": {
+ "hide_name": 1,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2849 ],
+ "Q": [ 704 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11271": {
+ "hide_name": 1,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2850 ],
+ "Q": [ 692 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11272": {
+ "hide_name": 1,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2851 ],
+ "Q": [ 696 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11273": {
+ "hide_name": 1,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2852 ],
+ "Q": [ 670 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11274": {
+ "hide_name": 1,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2853 ],
+ "Q": [ 674 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11275": {
+ "hide_name": 1,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2854 ],
+ "Q": [ 694 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11276": {
+ "hide_name": 1,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2855 ],
+ "Q": [ 672 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11277": {
+ "hide_name": 1,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2856 ],
+ "Q": [ 680 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11278": {
+ "hide_name": 1,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2857 ],
+ "Q": [ 698 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11279": {
+ "hide_name": 1,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2858 ],
+ "Q": [ 700 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11280": {
+ "hide_name": 1,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2859 ],
+ "Q": [ 706 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11281": {
+ "hide_name": 1,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2860 ],
+ "Q": [ 684 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11282": {
+ "hide_name": 1,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2861 ],
+ "Q": [ 676 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11283": {
+ "hide_name": 1,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2862 ],
+ "Q": [ 682 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11284": {
+ "hide_name": 1,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2863 ],
+ "Q": [ 686 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11285": {
+ "hide_name": 1,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2864 ],
+ "Q": [ 702 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11286": {
+ "hide_name": 1,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1290 ],
+ "Q": [ 2865 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11287": {
+ "hide_name": 1,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2865 ],
+ "Q": [ 751 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11288": {
+ "hide_name": 1,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1318 ],
+ "Q": [ 2866 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11289": {
+ "hide_name": 1,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1324 ],
+ "Q": [ 2867 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11290": {
+ "hide_name": 1,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 1321 ],
+ "Q": [ 2868 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11291": {
+ "hide_name": 1,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2866 ],
+ "Q": [ 723 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11292": {
+ "hide_name": 1,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2867 ],
+ "Q": [ 718 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11293": {
+ "hide_name": 1,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2560|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2868 ],
+ "Q": [ 724 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11294": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2551|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 112 ],
+ "E": [ 754 ],
+ "Q": [ 31 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11295": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2551|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 113 ],
+ "E": [ 755 ],
+ "Q": [ 32 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11296": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2551|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 115 ],
+ "E": [ 754 ],
+ "Q": [ 33 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11297": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2551|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 117 ],
+ "E": [ 754 ],
+ "Q": [ 26 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11298": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2551|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 119 ],
+ "E": [ 754 ],
+ "Q": [ 27 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11299": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2551|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 121 ],
+ "E": [ 754 ],
+ "Q": [ 28 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11300": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2551|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 123 ],
+ "E": [ 754 ],
+ "Q": [ 29 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11301": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2551|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 125 ],
+ "E": [ 754 ],
+ "Q": [ 22 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11302": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2551|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 127 ],
+ "E": [ 754 ],
+ "Q": [ 23 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11303": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2551|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 129 ],
+ "E": [ 754 ],
+ "Q": [ 24 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11304": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2551|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 131 ],
+ "E": [ 754 ],
+ "Q": [ 25 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$11305": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:2551|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 15 ],
+ "E": [ 754 ],
+ "Q": [ 30 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$5760": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2794 ],
+ "E": [ 2161 ],
+ "Q": [ 1326 ],
+ "R": [ 2272 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$5761": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2795 ],
+ "E": [ 2161 ],
+ "Q": [ 1347 ],
+ "R": [ 2272 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$6076": {
+ "hide_name": 1,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2121 ],
+ "Q": [ 1328 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$6077": {
+ "hide_name": 1,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2123 ],
+ "Q": [ 1327 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$6129": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2794 ],
+ "E": [ 2250 ],
+ "Q": [ 1330 ],
+ "R": [ 2272 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$6130": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2795 ],
+ "E": [ 2250 ],
+ "Q": [ 1349 ],
+ "R": [ 2272 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$6500": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2794 ],
+ "E": [ 2236 ],
+ "Q": [ 1325 ],
+ "R": [ 2272 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$6501": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2795 ],
+ "E": [ 2236 ],
+ "Q": [ 1346 ],
+ "R": [ 2272 ]
+ }
+ },
+ "SB_DFFS": {
+ "hide_name": 0,
+ "type": "SB_DFFS",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:3957"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "S": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ "0" ],
+ "Q": [ 2869 ],
+ "S": [ "0" ]
+ }
+ },
+ "SB_DFFS_1": {
+ "hide_name": 0,
+ "type": "SB_DFFS",
+ "parameters": {
+ },
+ "attributes": {
+ "src": "top.v:3964"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "S": "input"
+ },
+ "connections": {
+ "C": [ 2 ],
+ "D": [ 2869 ],
+ "Q": [ 13 ],
+ "S": [ "0" ]
+ }
+ },
+ "SB_IO": {
+ "hide_name": 0,
+ "type": "SB_IO",
+ "parameters": {
+ "PIN_TYPE": 41,
+ "PULLUP": 0
+ },
+ "attributes": {
+ "src": "top.v:3888"
+ },
+ "port_directions": {
+ "D_IN_0": "output",
+ "D_OUT_0": "input",
+ "OUTPUT_ENABLE": "input",
+ "PACKAGE_PIN": "inout"
+ },
+ "connections": {
+ "D_IN_0": [ 2826 ],
+ "D_OUT_0": [ 2822 ],
+ "OUTPUT_ENABLE": [ 2824 ],
+ "PACKAGE_PIN": [ 9 ]
+ }
+ },
+ "SB_IO_1": {
+ "hide_name": 0,
+ "type": "SB_IO",
+ "parameters": {
+ "PIN_TYPE": 41,
+ "PULLUP": 0
+ },
+ "attributes": {
+ "src": "top.v:3898"
+ },
+ "port_directions": {
+ "D_IN_0": "output",
+ "D_OUT_0": "input",
+ "OUTPUT_ENABLE": "input",
+ "PACKAGE_PIN": "inout"
+ },
+ "connections": {
+ "D_IN_0": [ 2829 ],
+ "D_OUT_0": [ 2823 ],
+ "OUTPUT_ENABLE": [ 2824 ],
+ "PACKAGE_PIN": [ 10 ]
+ }
+ },
+ "mem.0.0.0": {
+ "hide_name": 0,
+ "type": "SB_RAM40_4K",
+ "parameters": {
+ "INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx0x0x0x0x0x0x0x0x0x1x0x0x0x0x1x1x0x1x1x0x1x1x1x1x0x1x0x1x0x0x1x1x0x1x1x1x0x1x0x0x0x1x1x1x0x0x1x1x0x1x1x0x0x1x0x1x0x1x0x1x0x1x0x0x0x1x0x0x0x0x1x0x0x1x0x1x0x0x1x1x0x1x0x1x0x1x0x1",
+ "INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
+ "INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
+ "INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
+ "INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
+ "INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
+ "INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
+ "INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
+ "INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
+ "INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
+ "INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
+ "INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
+ "INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
+ "INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
+ "INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
+ "INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
+ "READ_MODE": 1,
+ "WRITE_MODE": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/brams_map.v:35"
+ },
+ "port_directions": {
+ "MASK": "input",
+ "RADDR": "input",
+ "RCLK": "input",
+ "RCLKE": "input",
+ "RDATA": "output",
+ "RE": "input",
+ "WADDR": "input",
+ "WCLK": "input",
+ "WCLKE": "input",
+ "WDATA": "input",
+ "WE": "input"
+ },
+ "connections": {
+ "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
+ "RADDR": [ 208, 209, 211, 210, "0", "0", "0", "0", "0", "0", "0" ],
+ "RCLK": [ 2 ],
+ "RCLKE": [ "1" ],
+ "RDATA": [ 2170, 2870, 2181, 2871, 2191, 2872, 2201, 2873, 2209, 2874, 2217, 2875, 2225, 2876, 2233, 2877 ],
+ "RE": [ "1" ],
+ "WADDR": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
+ "WCLK": [ "0" ],
+ "WCLKE": [ "0" ],
+ "WDATA": [ "0", "x", "0", "x", "0", "x", "0", "x", "0", "x", "0", "x", "0", "x", "0", "x" ],
+ "WE": [ "1" ]
+ }
+ },
+ "storage.0.0.0": {
+ "hide_name": 0,
+ "type": "SB_RAM40_4K",
+ "parameters": {
+ "INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
+ "INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
+ "INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
+ "INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
+ "INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
+ "INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
+ "INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
+ "INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
+ "INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
+ "INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
+ "INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
+ "INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
+ "INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
+ "INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
+ "INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
+ "INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
+ "READ_MODE": 1,
+ "WRITE_MODE": 1
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/brams_map.v:35"
+ },
+ "port_directions": {
+ "MASK": "input",
+ "RADDR": "input",
+ "RCLK": "input",
+ "RCLKE": "input",
+ "RDATA": "output",
+ "RE": "input",
+ "WADDR": "input",
+ "WCLK": "input",
+ "WCLKE": "input",
+ "WDATA": "input",
+ "WE": "input"
+ },
+ "connections": {
+ "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
+ "RADDR": [ 2134, 2135, 2136, 2137, "0", "0", "0", "0", "0", "0", "0" ],
+ "RCLK": [ 2 ],
+ "RCLKE": [ "1" ],
+ "RDATA": [ 158, 2878, 2307, 2879, 161, 2880, 2304, 2881, 2882, 2883, 2884, 2885, 2886, 2887, 2888, 2889 ],
+ "RE": [ "1" ],
+ "WADDR": [ 188, 156, 191, 186, "0", "0", "0", "0", "0", "0", "0" ],
+ "WCLK": [ 2 ],
+ "WCLKE": [ 2140 ],
+ "WDATA": [ 2346, "x", 1340, "x", 2328, "x", 1341, "x", "0", "x", "0", "x", "0", "x", "0", "x" ],
+ "WE": [ "1" ]
+ }
+ },
+ "storage_1.0.0.0": {
+ "hide_name": 0,
+ "type": "SB_RAM40_4K",
+ "parameters": {
+ "INIT_0": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
+ "INIT_1": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
+ "INIT_2": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
+ "INIT_3": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
+ "INIT_4": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
+ "INIT_5": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
+ "INIT_6": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
+ "INIT_7": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
+ "INIT_8": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
+ "INIT_9": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
+ "INIT_A": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
+ "INIT_B": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
+ "INIT_C": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
+ "INIT_D": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
+ "INIT_E": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
+ "INIT_F": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx",
+ "READ_MODE": 2,
+ "WRITE_MODE": 2
+ },
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/brams_map.v:35"
+ },
+ "port_directions": {
+ "MASK": "input",
+ "RADDR": "input",
+ "RCLK": "input",
+ "RCLKE": "input",
+ "RDATA": "output",
+ "RE": "input",
+ "WADDR": "input",
+ "WCLK": "input",
+ "WCLKE": "input",
+ "WDATA": "input",
+ "WE": "input"
+ },
+ "connections": {
+ "MASK": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ],
+ "RADDR": [ 734, 2277, 2389, 2392, 2395, 2398, 2401, 2404, "0", "0", "0" ],
+ "RCLK": [ 2 ],
+ "RCLKE": [ 709 ],
+ "RDATA": [ 2890, 2794, 2891, 2892, 2893, 2795, 2894, 2895, 2896, 2897, 2898, 2899, 2900, 2901, 2902, 2903 ],
+ "RE": [ "1" ],
+ "WADDR": [ 731, 2275, 2370, 2373, 2376, 2379, 2382, 2385, "0", "0", "0" ],
+ "WCLK": [ 2 ],
+ "WCLKE": [ 727 ],
+ "WDATA": [ "x", 2116, "x", "x", "x", 2117, "x", "x", "x", "0", "x", "x", "x", "0", "x", "x" ],
+ "WE": [ "1" ]
+ }
+ }
+ },
+ "netnames": {
+ "$0\\uartwishbonebridge_data[31:0]": {
+ "hide_name": 1,
+ "bits": [ 1598, 1604, 1608, 1612, 1616, 1620, 1624, 1628, 1633, 1637, 1641, 1645, 1649, 1653, 1657, 1661, 1665, 1669, 1673, 1677, 1681, 1685, 1689, 1693, 1696, 1699, 1702, 1705, 1708, 1711, 1714, 1717 ],
+ "attributes": {
+ "src": "top.v:2639"
+ }
+ },
+ "$abc$25143$n1": {
+ "hide_name": 1,
+ "bits": [ 15 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n100": {
+ "hide_name": 1,
+ "bits": [ 87 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n102": {
+ "hide_name": 1,
+ "bits": [ 740 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n104": {
+ "hide_name": 1,
+ "bits": [ 31 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n106": {
+ "hide_name": 1,
+ "bits": [ 32 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n108": {
+ "hide_name": 1,
+ "bits": [ 33 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n11": {
+ "hide_name": 1,
+ "bits": [ 41 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n110": {
+ "hide_name": 1,
+ "bits": [ 26 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n112": {
+ "hide_name": 1,
+ "bits": [ 27 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n114": {
+ "hide_name": 1,
+ "bits": [ 28 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1158": {
+ "hide_name": 1,
+ "bits": [ 201 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n116": {
+ "hide_name": 1,
+ "bits": [ 29 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1160": {
+ "hide_name": 1,
+ "bits": [ 213 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1162": {
+ "hide_name": 1,
+ "bits": [ 215 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1164": {
+ "hide_name": 1,
+ "bits": [ 217 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1174": {
+ "hide_name": 1,
+ "bits": [ 220 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1178": {
+ "hide_name": 1,
+ "bits": [ 262 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n118": {
+ "hide_name": 1,
+ "bits": [ 22 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1182": {
+ "hide_name": 1,
+ "bits": [ 264 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1186": {
+ "hide_name": 1,
+ "bits": [ 267 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1188": {
+ "hide_name": 1,
+ "bits": [ 273 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1190": {
+ "hide_name": 1,
+ "bits": [ 278 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1192": {
+ "hide_name": 1,
+ "bits": [ 280 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1194": {
+ "hide_name": 1,
+ "bits": [ 282 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1196": {
+ "hide_name": 1,
+ "bits": [ 285 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1198": {
+ "hide_name": 1,
+ "bits": [ 288 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n120": {
+ "hide_name": 1,
+ "bits": [ 23 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1200": {
+ "hide_name": 1,
+ "bits": [ 291 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1202": {
+ "hide_name": 1,
+ "bits": [ 294 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1204": {
+ "hide_name": 1,
+ "bits": [ 296 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1206": {
+ "hide_name": 1,
+ "bits": [ 299 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1209": {
+ "hide_name": 1,
+ "bits": [ 304 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1210": {
+ "hide_name": 1,
+ "bits": [ 348 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1212": {
+ "hide_name": 1,
+ "bits": [ 353 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1218": {
+ "hide_name": 1,
+ "bits": [ 356 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n122": {
+ "hide_name": 1,
+ "bits": [ 24 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1222": {
+ "hide_name": 1,
+ "bits": [ 358 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1224": {
+ "hide_name": 1,
+ "bits": [ 361 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1226": {
+ "hide_name": 1,
+ "bits": [ 363 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1229": {
+ "hide_name": 1,
+ "bits": [ 365 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1230": {
+ "hide_name": 1,
+ "bits": [ 371 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1232": {
+ "hide_name": 1,
+ "bits": [ 373 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1237": {
+ "hide_name": 1,
+ "bits": [ 374 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n124": {
+ "hide_name": 1,
+ "bits": [ 25 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1244": {
+ "hide_name": 1,
+ "bits": [ 375 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1245": {
+ "hide_name": 1,
+ "bits": [ 377 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1253": {
+ "hide_name": 1,
+ "bits": [ 380 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1254": {
+ "hide_name": 1,
+ "bits": [ 385 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1264": {
+ "hide_name": 1,
+ "bits": [ 388 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1267": {
+ "hide_name": 1,
+ "bits": [ 390 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1273": {
+ "hide_name": 1,
+ "bits": [ 395 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1279": {
+ "hide_name": 1,
+ "bits": [ 397 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1291": {
+ "hide_name": 1,
+ "bits": [ 399 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n13": {
+ "hide_name": 1,
+ "bits": [ 43 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1306": {
+ "hide_name": 1,
+ "bits": [ 409 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1313": {
+ "hide_name": 1,
+ "bits": [ 412 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1321": {
+ "hide_name": 1,
+ "bits": [ 415 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1323": {
+ "hide_name": 1,
+ "bits": [ 417 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1328": {
+ "hide_name": 1,
+ "bits": [ 451 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1329": {
+ "hide_name": 1,
+ "bits": [ 452 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1336": {
+ "hide_name": 1,
+ "bits": [ 454 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1337": {
+ "hide_name": 1,
+ "bits": [ 456 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1341": {
+ "hide_name": 1,
+ "bits": [ 458 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1348": {
+ "hide_name": 1,
+ "bits": [ 460 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1349": {
+ "hide_name": 1,
+ "bits": [ 488 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1354": {
+ "hide_name": 1,
+ "bits": [ 493 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1356": {
+ "hide_name": 1,
+ "bits": [ 496 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1360": {
+ "hide_name": 1,
+ "bits": [ 499 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1362": {
+ "hide_name": 1,
+ "bits": [ 502 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1365": {
+ "hide_name": 1,
+ "bits": [ 504 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1367": {
+ "hide_name": 1,
+ "bits": [ 506 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1368": {
+ "hide_name": 1,
+ "bits": [ 508 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1370": {
+ "hide_name": 1,
+ "bits": [ 511 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1372": {
+ "hide_name": 1,
+ "bits": [ 518 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1374": {
+ "hide_name": 1,
+ "bits": [ 530 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1376": {
+ "hide_name": 1,
+ "bits": [ 532 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1378": {
+ "hide_name": 1,
+ "bits": [ 544 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1380": {
+ "hide_name": 1,
+ "bits": [ 548 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1381": {
+ "hide_name": 1,
+ "bits": [ 557 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1385": {
+ "hide_name": 1,
+ "bits": [ 560 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1388": {
+ "hide_name": 1,
+ "bits": [ 566 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1390": {
+ "hide_name": 1,
+ "bits": [ 589 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1392": {
+ "hide_name": 1,
+ "bits": [ 591 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1394": {
+ "hide_name": 1,
+ "bits": [ 593 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1396": {
+ "hide_name": 1,
+ "bits": [ 596 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1398": {
+ "hide_name": 1,
+ "bits": [ 598 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1400": {
+ "hide_name": 1,
+ "bits": [ 599 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1402": {
+ "hide_name": 1,
+ "bits": [ 600 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1409": {
+ "hide_name": 1,
+ "bits": [ 601 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1410": {
+ "hide_name": 1,
+ "bits": [ 602 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1414": {
+ "hide_name": 1,
+ "bits": [ 603 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1416": {
+ "hide_name": 1,
+ "bits": [ 604 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1418": {
+ "hide_name": 1,
+ "bits": [ 605 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1420": {
+ "hide_name": 1,
+ "bits": [ 607 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1422": {
+ "hide_name": 1,
+ "bits": [ 610 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1424": {
+ "hide_name": 1,
+ "bits": [ 612 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1426": {
+ "hide_name": 1,
+ "bits": [ 615 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1437": {
+ "hide_name": 1,
+ "bits": [ 617 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1441": {
+ "hide_name": 1,
+ "bits": [ 628 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1448": {
+ "hide_name": 1,
+ "bits": [ 630 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1451": {
+ "hide_name": 1,
+ "bits": [ 634 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1452": {
+ "hide_name": 1,
+ "bits": [ 642 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1459": {
+ "hide_name": 1,
+ "bits": [ 643 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1471": {
+ "hide_name": 1,
+ "bits": [ 646 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1474": {
+ "hide_name": 1,
+ "bits": [ 645 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1480": {
+ "hide_name": 1,
+ "bits": [ 725 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1494": {
+ "hide_name": 1,
+ "bits": [ 728 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1495": {
+ "hide_name": 1,
+ "bits": [ 729 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1498": {
+ "hide_name": 1,
+ "bits": [ 730 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n15": {
+ "hide_name": 1,
+ "bits": [ 46 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1503": {
+ "hide_name": 1,
+ "bits": [ 732 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1507": {
+ "hide_name": 1,
+ "bits": [ 733 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1508": {
+ "hide_name": 1,
+ "bits": [ 735 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1517": {
+ "hide_name": 1,
+ "bits": [ 737 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1518": {
+ "hide_name": 1,
+ "bits": [ 750 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1520": {
+ "hide_name": 1,
+ "bits": [ 2272 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1521": {
+ "hide_name": 1,
+ "bits": [ 753 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1528": {
+ "hide_name": 1,
+ "bits": [ 754 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1529": {
+ "hide_name": 1,
+ "bits": [ 755 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1556": {
+ "hide_name": 1,
+ "bits": [ 449 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1558": {
+ "hide_name": 1,
+ "bits": [ 448 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1559": {
+ "hide_name": 1,
+ "bits": [ 445 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1561": {
+ "hide_name": 1,
+ "bits": [ 446 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1562": {
+ "hide_name": 1,
+ "bits": [ 447 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n17": {
+ "hide_name": 1,
+ "bits": [ 58 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1785": {
+ "hide_name": 1,
+ "bits": [ 19 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1786": {
+ "hide_name": 1,
+ "bits": [ 20 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1787": {
+ "hide_name": 1,
+ "bits": [ 21 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1794": {
+ "hide_name": 1,
+ "bits": [ 45 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1795": {
+ "hide_name": 1,
+ "bits": [ 48 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1796": {
+ "hide_name": 1,
+ "bits": [ 44 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1798": {
+ "hide_name": 1,
+ "bits": [ 56 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1805": {
+ "hide_name": 1,
+ "bits": [ 73 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1807": {
+ "hide_name": 1,
+ "bits": [ 78 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1808": {
+ "hide_name": 1,
+ "bits": [ 80 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1809": {
+ "hide_name": 1,
+ "bits": [ 82 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1810": {
+ "hide_name": 1,
+ "bits": [ 88 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1811": {
+ "hide_name": 1,
+ "bits": [ 89 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1812": {
+ "hide_name": 1,
+ "bits": [ 90 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1813": {
+ "hide_name": 1,
+ "bits": [ 91 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1814": {
+ "hide_name": 1,
+ "bits": [ 86 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1815": {
+ "hide_name": 1,
+ "bits": [ 81 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1836": {
+ "hide_name": 1,
+ "bits": [ 148 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1842": {
+ "hide_name": 1,
+ "bits": [ 160 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1844": {
+ "hide_name": 1,
+ "bits": [ 163 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1845": {
+ "hide_name": 1,
+ "bits": [ 167 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1846": {
+ "hide_name": 1,
+ "bits": [ 166 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1848": {
+ "hide_name": 1,
+ "bits": [ 173 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1849": {
+ "hide_name": 1,
+ "bits": [ 174 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1850": {
+ "hide_name": 1,
+ "bits": [ 149 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1851": {
+ "hide_name": 1,
+ "bits": [ 150 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1852": {
+ "hide_name": 1,
+ "bits": [ 151 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1856": {
+ "hide_name": 1,
+ "bits": [ 199 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1857": {
+ "hide_name": 1,
+ "bits": [ 204 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1858": {
+ "hide_name": 1,
+ "bits": [ 200 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1860": {
+ "hide_name": 1,
+ "bits": [ 212 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1862": {
+ "hide_name": 1,
+ "bits": [ 214 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1864": {
+ "hide_name": 1,
+ "bits": [ 216 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1866_1": {
+ "hide_name": 1,
+ "bits": [ 218 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1867": {
+ "hide_name": 1,
+ "bits": [ 222 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1868_1": {
+ "hide_name": 1,
+ "bits": [ 223 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1869": {
+ "hide_name": 1,
+ "bits": [ 224 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1870": {
+ "hide_name": 1,
+ "bits": [ 225 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1871": {
+ "hide_name": 1,
+ "bits": [ 756 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1871_1": {
+ "hide_name": 1,
+ "bits": [ 226 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1872": {
+ "hide_name": 1,
+ "bits": [ 221 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1873": {
+ "hide_name": 1,
+ "bits": [ 243 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1874": {
+ "hide_name": 1,
+ "bits": [ 244 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1875": {
+ "hide_name": 1,
+ "bits": [ 245 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1876": {
+ "hide_name": 1,
+ "bits": [ 246 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1882": {
+ "hide_name": 1,
+ "bits": [ 271 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1883": {
+ "hide_name": 1,
+ "bits": [ 274 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1884": {
+ "hide_name": 1,
+ "bits": [ 357 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1884_1": {
+ "hide_name": 1,
+ "bits": [ 275 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1885": {
+ "hide_name": 1,
+ "bits": [ 272 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1887": {
+ "hide_name": 1,
+ "bits": [ 277 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1889_1": {
+ "hide_name": 1,
+ "bits": [ 279 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1891": {
+ "hide_name": 1,
+ "bits": [ 281 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1892": {
+ "hide_name": 1,
+ "bits": [ 283 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1894": {
+ "hide_name": 1,
+ "bits": [ 284 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1895_1": {
+ "hide_name": 1,
+ "bits": [ 286 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1897_1": {
+ "hide_name": 1,
+ "bits": [ 287 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1898": {
+ "hide_name": 1,
+ "bits": [ 289 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n19": {
+ "hide_name": 1,
+ "bits": [ 63 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1900": {
+ "hide_name": 1,
+ "bits": [ 290 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1901_1": {
+ "hide_name": 1,
+ "bits": [ 292 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1903": {
+ "hide_name": 1,
+ "bits": [ 293 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1905": {
+ "hide_name": 1,
+ "bits": [ 295 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1906_1": {
+ "hide_name": 1,
+ "bits": [ 297 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1908": {
+ "hide_name": 1,
+ "bits": [ 298 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1909_1": {
+ "hide_name": 1,
+ "bits": [ 301 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1910": {
+ "hide_name": 1,
+ "bits": [ 300 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1913": {
+ "hide_name": 1,
+ "bits": [ 305 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1914": {
+ "hide_name": 1,
+ "bits": [ 309 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1915_1": {
+ "hide_name": 1,
+ "bits": [ 310 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1916": {
+ "hide_name": 1,
+ "bits": [ 311 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1917": {
+ "hide_name": 1,
+ "bits": [ 312 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1918": {
+ "hide_name": 1,
+ "bits": [ 306 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1919": {
+ "hide_name": 1,
+ "bits": [ 329 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1920": {
+ "hide_name": 1,
+ "bits": [ 330 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1921": {
+ "hide_name": 1,
+ "bits": [ 307 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1922": {
+ "hide_name": 1,
+ "bits": [ 308 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1924": {
+ "hide_name": 1,
+ "bits": [ 347 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1925_1": {
+ "hide_name": 1,
+ "bits": [ 350 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1926": {
+ "hide_name": 1,
+ "bits": [ 351 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1928": {
+ "hide_name": 1,
+ "bits": [ 352 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1929": {
+ "hide_name": 1,
+ "bits": [ 354 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1933": {
+ "hide_name": 1,
+ "bits": [ 359 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1935": {
+ "hide_name": 1,
+ "bits": [ 360 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1937": {
+ "hide_name": 1,
+ "bits": [ 362 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1939": {
+ "hide_name": 1,
+ "bits": [ 364 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1940": {
+ "hide_name": 1,
+ "bits": [ 366 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1941": {
+ "hide_name": 1,
+ "bits": [ 368 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1942": {
+ "hide_name": 1,
+ "bits": [ 367 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1946": {
+ "hide_name": 1,
+ "bits": [ 372 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1950": {
+ "hide_name": 1,
+ "bits": [ 376 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1951_1": {
+ "hide_name": 1,
+ "bits": [ 378 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1955_1": {
+ "hide_name": 1,
+ "bits": [ 384 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1957_1": {
+ "hide_name": 1,
+ "bits": [ 387 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1959": {
+ "hide_name": 1,
+ "bits": [ 389 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1965": {
+ "hide_name": 1,
+ "bits": [ 400 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1969_1": {
+ "hide_name": 1,
+ "bits": [ 411 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1970_1": {
+ "hide_name": 1,
+ "bits": [ 413 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1972_1": {
+ "hide_name": 1,
+ "bits": [ 414 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1975": {
+ "hide_name": 1,
+ "bits": [ 418 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1976": {
+ "hide_name": 1,
+ "bits": [ 423 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1977": {
+ "hide_name": 1,
+ "bits": [ 425 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1978": {
+ "hide_name": 1,
+ "bits": [ 424 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1979_1": {
+ "hide_name": 1,
+ "bits": [ 419 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1981_1": {
+ "hide_name": 1,
+ "bits": [ 431 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1982_1": {
+ "hide_name": 1,
+ "bits": [ 420 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1983": {
+ "hide_name": 1,
+ "bits": [ 432 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1984_1": {
+ "hide_name": 1,
+ "bits": [ 434 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1985_1": {
+ "hide_name": 1,
+ "bits": [ 435 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1986": {
+ "hide_name": 1,
+ "bits": [ 436 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1987_1": {
+ "hide_name": 1,
+ "bits": [ 437 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1988_1": {
+ "hide_name": 1,
+ "bits": [ 433 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1991": {
+ "hide_name": 1,
+ "bits": [ 450 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1994": {
+ "hide_name": 1,
+ "bits": [ 453 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1995": {
+ "hide_name": 1,
+ "bits": [ 455 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n1998": {
+ "hide_name": 1,
+ "bits": [ 457 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2000": {
+ "hide_name": 1,
+ "bits": [ 459 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2001": {
+ "hide_name": 1,
+ "bits": [ 461 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2002": {
+ "hide_name": 1,
+ "bits": [ 465 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2003": {
+ "hide_name": 1,
+ "bits": [ 462 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2004_1": {
+ "hide_name": 1,
+ "bits": [ 475 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2005": {
+ "hide_name": 1,
+ "bits": [ 463 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2006_1": {
+ "hide_name": 1,
+ "bits": [ 464 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2009_1": {
+ "hide_name": 1,
+ "bits": [ 492 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2012_1": {
+ "hide_name": 1,
+ "bits": [ 497 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2018_1": {
+ "hide_name": 1,
+ "bits": [ 509 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2019_1": {
+ "hide_name": 1,
+ "bits": [ 513 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2020": {
+ "hide_name": 1,
+ "bits": [ 510 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2023": {
+ "hide_name": 1,
+ "bits": [ 519 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2024_1": {
+ "hide_name": 1,
+ "bits": [ 520 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2026": {
+ "hide_name": 1,
+ "bits": [ 529 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2029": {
+ "hide_name": 1,
+ "bits": [ 533 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2030": {
+ "hide_name": 1,
+ "bits": [ 534 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2032": {
+ "hide_name": 1,
+ "bits": [ 543 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2033": {
+ "hide_name": 1,
+ "bits": [ 545 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2035": {
+ "hide_name": 1,
+ "bits": [ 547 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2036": {
+ "hide_name": 1,
+ "bits": [ 546 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2040": {
+ "hide_name": 1,
+ "bits": [ 563 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2041": {
+ "hide_name": 1,
+ "bits": [ 559 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2044": {
+ "hide_name": 1,
+ "bits": [ 567 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2045": {
+ "hide_name": 1,
+ "bits": [ 568 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2046": {
+ "hide_name": 1,
+ "bits": [ 569 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2047": {
+ "hide_name": 1,
+ "bits": [ 570 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2048": {
+ "hide_name": 1,
+ "bits": [ 571 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2052": {
+ "hide_name": 1,
+ "bits": [ 592 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2053": {
+ "hide_name": 1,
+ "bits": [ 594 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2055": {
+ "hide_name": 1,
+ "bits": [ 595 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2056": {
+ "hide_name": 1,
+ "bits": [ 597 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2066": {
+ "hide_name": 1,
+ "bits": [ 606 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2067": {
+ "hide_name": 1,
+ "bits": [ 608 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2069": {
+ "hide_name": 1,
+ "bits": [ 609 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n207": {
+ "hide_name": 1,
+ "bits": [ 152 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2071": {
+ "hide_name": 1,
+ "bits": [ 611 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2072": {
+ "hide_name": 1,
+ "bits": [ 613 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2074": {
+ "hide_name": 1,
+ "bits": [ 614 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2076": {
+ "hide_name": 1,
+ "bits": [ 616 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2077": {
+ "hide_name": 1,
+ "bits": [ 620 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2078": {
+ "hide_name": 1,
+ "bits": [ 621 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2082": {
+ "hide_name": 1,
+ "bits": [ 632 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2083": {
+ "hide_name": 1,
+ "bits": [ 635 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2084": {
+ "hide_name": 1,
+ "bits": [ 633 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2089_1": {
+ "hide_name": 1,
+ "bits": [ 649 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2090": {
+ "hide_name": 1,
+ "bits": [ 650 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2091": {
+ "hide_name": 1,
+ "bits": [ 651 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2092_1": {
+ "hide_name": 1,
+ "bits": [ 653 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2093": {
+ "hide_name": 1,
+ "bits": [ 654 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2094": {
+ "hide_name": 1,
+ "bits": [ 647 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2095": {
+ "hide_name": 1,
+ "bits": [ 663 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2096": {
+ "hide_name": 1,
+ "bits": [ 667 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2097": {
+ "hide_name": 1,
+ "bits": [ 668 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2098": {
+ "hide_name": 1,
+ "bits": [ 664 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2099": {
+ "hide_name": 1,
+ "bits": [ 677 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n21": {
+ "hide_name": 1,
+ "bits": [ 65 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2100": {
+ "hide_name": 1,
+ "bits": [ 678 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2101_1": {
+ "hide_name": 1,
+ "bits": [ 665 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2102": {
+ "hide_name": 1,
+ "bits": [ 687 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2103_1": {
+ "hide_name": 1,
+ "bits": [ 688 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2104_1": {
+ "hide_name": 1,
+ "bits": [ 689 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2105_1": {
+ "hide_name": 1,
+ "bits": [ 690 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2106": {
+ "hide_name": 1,
+ "bits": [ 666 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2107": {
+ "hide_name": 1,
+ "bits": [ 644 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2113": {
+ "hide_name": 1,
+ "bits": [ 712 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2120": {
+ "hide_name": 1,
+ "bits": [ 715 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2122_1": {
+ "hide_name": 1,
+ "bits": [ 720 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2124": {
+ "hide_name": 1,
+ "bits": [ 716 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2127": {
+ "hide_name": 1,
+ "bits": [ 726 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2135": {
+ "hide_name": 1,
+ "bits": [ 736 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2136": {
+ "hide_name": 1,
+ "bits": [ 741 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2137": {
+ "hide_name": 1,
+ "bits": [ 739 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2139": {
+ "hide_name": 1,
+ "bits": [ 749 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2145": {
+ "hide_name": 1,
+ "bits": [ 759 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2147": {
+ "hide_name": 1,
+ "bits": [ 764 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2148": {
+ "hide_name": 1,
+ "bits": [ 765 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2149": {
+ "hide_name": 1,
+ "bits": [ 766 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2150": {
+ "hide_name": 1,
+ "bits": [ 767 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2158": {
+ "hide_name": 1,
+ "bits": [ 797 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2164": {
+ "hide_name": 1,
+ "bits": [ 802 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2167": {
+ "hide_name": 1,
+ "bits": [ 806 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2168_1": {
+ "hide_name": 1,
+ "bits": [ 805 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2169_1": {
+ "hide_name": 1,
+ "bits": [ 811 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2177": {
+ "hide_name": 1,
+ "bits": [ 815 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2178": {
+ "hide_name": 1,
+ "bits": [ 820 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2179": {
+ "hide_name": 1,
+ "bits": [ 816 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2180": {
+ "hide_name": 1,
+ "bits": [ 822 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2181": {
+ "hide_name": 1,
+ "bits": [ 817 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2182": {
+ "hide_name": 1,
+ "bits": [ 823 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2187": {
+ "hide_name": 1,
+ "bits": [ 824 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2189": {
+ "hide_name": 1,
+ "bits": [ 825 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2195": {
+ "hide_name": 1,
+ "bits": [ 829 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2197": {
+ "hide_name": 1,
+ "bits": [ 832 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2198": {
+ "hide_name": 1,
+ "bits": [ 836 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2199": {
+ "hide_name": 1,
+ "bits": [ 835 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2200_1": {
+ "hide_name": 1,
+ "bits": [ 831 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2205": {
+ "hide_name": 1,
+ "bits": [ 838 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2207_1": {
+ "hide_name": 1,
+ "bits": [ 839 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2209": {
+ "hide_name": 1,
+ "bits": [ 844 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2210": {
+ "hide_name": 1,
+ "bits": [ 846 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2211": {
+ "hide_name": 1,
+ "bits": [ 848 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2212": {
+ "hide_name": 1,
+ "bits": [ 843 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2215": {
+ "hide_name": 1,
+ "bits": [ 855 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2218": {
+ "hide_name": 1,
+ "bits": [ 853 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2222": {
+ "hide_name": 1,
+ "bits": [ 858 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2223": {
+ "hide_name": 1,
+ "bits": [ 857 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2226_1": {
+ "hide_name": 1,
+ "bits": [ 860 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2228_1": {
+ "hide_name": 1,
+ "bits": [ 866 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2230": {
+ "hide_name": 1,
+ "bits": [ 868 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2232_1": {
+ "hide_name": 1,
+ "bits": [ 870 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2234_1": {
+ "hide_name": 1,
+ "bits": [ 874 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2236": {
+ "hide_name": 1,
+ "bits": [ 876 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2238": {
+ "hide_name": 1,
+ "bits": [ 879 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2239_1": {
+ "hide_name": 1,
+ "bits": [ 878 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2242": {
+ "hide_name": 1,
+ "bits": [ 882 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2286": {
+ "hide_name": 1,
+ "bits": [ 959 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2287_1": {
+ "hide_name": 1,
+ "bits": [ 965 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2288_1": {
+ "hide_name": 1,
+ "bits": [ 964 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2289": {
+ "hide_name": 1,
+ "bits": [ 968 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2290_1": {
+ "hide_name": 1,
+ "bits": [ 960 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2291_1": {
+ "hide_name": 1,
+ "bits": [ 970 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2292": {
+ "hide_name": 1,
+ "bits": [ 972 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2293_1": {
+ "hide_name": 1,
+ "bits": [ 961 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2294_1": {
+ "hide_name": 1,
+ "bits": [ 975 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2295": {
+ "hide_name": 1,
+ "bits": [ 976 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2296_1": {
+ "hide_name": 1,
+ "bits": [ 977 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2297_1": {
+ "hide_name": 1,
+ "bits": [ 978 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2299_1": {
+ "hide_name": 1,
+ "bits": [ 986 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n23": {
+ "hide_name": 1,
+ "bits": [ 67 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2300_1": {
+ "hide_name": 1,
+ "bits": [ 991 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2301": {
+ "hide_name": 1,
+ "bits": [ 994 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2302_1": {
+ "hide_name": 1,
+ "bits": [ 995 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2303_1": {
+ "hide_name": 1,
+ "bits": [ 992 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2304": {
+ "hide_name": 1,
+ "bits": [ 987 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2305": {
+ "hide_name": 1,
+ "bits": [ 1002 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2306": {
+ "hide_name": 1,
+ "bits": [ 988 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2308": {
+ "hide_name": 1,
+ "bits": [ 1007 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2309": {
+ "hide_name": 1,
+ "bits": [ 1012 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2310": {
+ "hide_name": 1,
+ "bits": [ 1015 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2311": {
+ "hide_name": 1,
+ "bits": [ 1013 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2312": {
+ "hide_name": 1,
+ "bits": [ 1008 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2313": {
+ "hide_name": 1,
+ "bits": [ 1021 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2314": {
+ "hide_name": 1,
+ "bits": [ 1022 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2315": {
+ "hide_name": 1,
+ "bits": [ 1009 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2317_1": {
+ "hide_name": 1,
+ "bits": [ 1028 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2318": {
+ "hide_name": 1,
+ "bits": [ 1033 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2319_1": {
+ "hide_name": 1,
+ "bits": [ 1034 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2320_1": {
+ "hide_name": 1,
+ "bits": [ 1029 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2321": {
+ "hide_name": 1,
+ "bits": [ 1040 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2322_1": {
+ "hide_name": 1,
+ "bits": [ 1030 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2323_1": {
+ "hide_name": 1,
+ "bits": [ 1044 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2324": {
+ "hide_name": 1,
+ "bits": [ 1045 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2326_1": {
+ "hide_name": 1,
+ "bits": [ 1049 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2327": {
+ "hide_name": 1,
+ "bits": [ 1054 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2328_1": {
+ "hide_name": 1,
+ "bits": [ 1057 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2329_1": {
+ "hide_name": 1,
+ "bits": [ 1058 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2330": {
+ "hide_name": 1,
+ "bits": [ 1055 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2331_1": {
+ "hide_name": 1,
+ "bits": [ 1050 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2332_1": {
+ "hide_name": 1,
+ "bits": [ 1051 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2334_1": {
+ "hide_name": 1,
+ "bits": [ 1069 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2335_1": {
+ "hide_name": 1,
+ "bits": [ 1074 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2336": {
+ "hide_name": 1,
+ "bits": [ 1077 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2337_1": {
+ "hide_name": 1,
+ "bits": [ 1075 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2338_1": {
+ "hide_name": 1,
+ "bits": [ 1070 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2339": {
+ "hide_name": 1,
+ "bits": [ 1082 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2340_1": {
+ "hide_name": 1,
+ "bits": [ 1083 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2341_1": {
+ "hide_name": 1,
+ "bits": [ 1071 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2343_1": {
+ "hide_name": 1,
+ "bits": [ 1091 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2344_1": {
+ "hide_name": 1,
+ "bits": [ 1094 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2345": {
+ "hide_name": 1,
+ "bits": [ 1096 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2346_1": {
+ "hide_name": 1,
+ "bits": [ 1090 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2347_1": {
+ "hide_name": 1,
+ "bits": [ 1099 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2348": {
+ "hide_name": 1,
+ "bits": [ 1100 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2349_1": {
+ "hide_name": 1,
+ "bits": [ 1101 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2350_1": {
+ "hide_name": 1,
+ "bits": [ 1102 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2352_1": {
+ "hide_name": 1,
+ "bits": [ 1111 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2353_1": {
+ "hide_name": 1,
+ "bits": [ 1116 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2354": {
+ "hide_name": 1,
+ "bits": [ 1118 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2355_1": {
+ "hide_name": 1,
+ "bits": [ 1112 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2356_1": {
+ "hide_name": 1,
+ "bits": [ 1122 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2357": {
+ "hide_name": 1,
+ "bits": [ 1123 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2358_1": {
+ "hide_name": 1,
+ "bits": [ 1113 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2359_1": {
+ "hide_name": 1,
+ "bits": [ 1129 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2361_1": {
+ "hide_name": 1,
+ "bits": [ 1133 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2362_1": {
+ "hide_name": 1,
+ "bits": [ 1132 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2375": {
+ "hide_name": 1,
+ "bits": [ 1153 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2376": {
+ "hide_name": 1,
+ "bits": [ 1159 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2377": {
+ "hide_name": 1,
+ "bits": [ 1158 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2378_1": {
+ "hide_name": 1,
+ "bits": [ 1161 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2379": {
+ "hide_name": 1,
+ "bits": [ 1162 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2380_1": {
+ "hide_name": 1,
+ "bits": [ 1154 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2381": {
+ "hide_name": 1,
+ "bits": [ 1163 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2382": {
+ "hide_name": 1,
+ "bits": [ 1166 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2383": {
+ "hide_name": 1,
+ "bits": [ 1165 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2384": {
+ "hide_name": 1,
+ "bits": [ 1168 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2385": {
+ "hide_name": 1,
+ "bits": [ 1167 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2386": {
+ "hide_name": 1,
+ "bits": [ 1164 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2387": {
+ "hide_name": 1,
+ "bits": [ 1155 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2388_1": {
+ "hide_name": 1,
+ "bits": [ 1169 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2389_1": {
+ "hide_name": 1,
+ "bits": [ 1156 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2391": {
+ "hide_name": 1,
+ "bits": [ 1170 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2392": {
+ "hide_name": 1,
+ "bits": [ 1174 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2393": {
+ "hide_name": 1,
+ "bits": [ 1178 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2394": {
+ "hide_name": 1,
+ "bits": [ 1179 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2395": {
+ "hide_name": 1,
+ "bits": [ 1177 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2396": {
+ "hide_name": 1,
+ "bits": [ 1175 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2397": {
+ "hide_name": 1,
+ "bits": [ 1176 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2398": {
+ "hide_name": 1,
+ "bits": [ 1171 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2399": {
+ "hide_name": 1,
+ "bits": [ 1180 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2400_1": {
+ "hide_name": 1,
+ "bits": [ 1181 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2401_1": {
+ "hide_name": 1,
+ "bits": [ 1172 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2403": {
+ "hide_name": 1,
+ "bits": [ 1182 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2404_1": {
+ "hide_name": 1,
+ "bits": [ 1185 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2405": {
+ "hide_name": 1,
+ "bits": [ 1186 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2406": {
+ "hide_name": 1,
+ "bits": [ 1187 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2407": {
+ "hide_name": 1,
+ "bits": [ 1188 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2408": {
+ "hide_name": 1,
+ "bits": [ 1189 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2409": {
+ "hide_name": 1,
+ "bits": [ 1183 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2410": {
+ "hide_name": 1,
+ "bits": [ 1190 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2411": {
+ "hide_name": 1,
+ "bits": [ 1191 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2413": {
+ "hide_name": 1,
+ "bits": [ 1192 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2414": {
+ "hide_name": 1,
+ "bits": [ 788 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2414_1": {
+ "hide_name": 1,
+ "bits": [ 1194 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2416": {
+ "hide_name": 1,
+ "bits": [ 1195 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2417": {
+ "hide_name": 1,
+ "bits": [ 1198 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2418": {
+ "hide_name": 1,
+ "bits": [ 1199 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2419": {
+ "hide_name": 1,
+ "bits": [ 1196 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2421": {
+ "hide_name": 1,
+ "bits": [ 1200 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2422": {
+ "hide_name": 1,
+ "bits": [ 1203 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2423": {
+ "hide_name": 1,
+ "bits": [ 1204 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2424": {
+ "hide_name": 1,
+ "bits": [ 1201 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2425": {
+ "hide_name": 1,
+ "bits": [ 1205 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2427": {
+ "hide_name": 1,
+ "bits": [ 1206 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2428": {
+ "hide_name": 1,
+ "bits": [ 1208 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2431": {
+ "hide_name": 1,
+ "bits": [ 1211 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2432": {
+ "hide_name": 1,
+ "bits": [ 1213 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2433": {
+ "hide_name": 1,
+ "bits": [ 1216 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2434": {
+ "hide_name": 1,
+ "bits": [ 1214 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2435": {
+ "hide_name": 1,
+ "bits": [ 1215 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2437": {
+ "hide_name": 1,
+ "bits": [ 1221 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2438": {
+ "hide_name": 1,
+ "bits": [ 1226 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2439": {
+ "hide_name": 1,
+ "bits": [ 1225 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2440": {
+ "hide_name": 1,
+ "bits": [ 1222 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2441": {
+ "hide_name": 1,
+ "bits": [ 1220 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2443": {
+ "hide_name": 1,
+ "bits": [ 1231 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2444": {
+ "hide_name": 1,
+ "bits": [ 1234 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2445": {
+ "hide_name": 1,
+ "bits": [ 1236 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2446": {
+ "hide_name": 1,
+ "bits": [ 1237 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2447": {
+ "hide_name": 1,
+ "bits": [ 1235 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2449": {
+ "hide_name": 1,
+ "bits": [ 1241 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2450": {
+ "hide_name": 1,
+ "bits": [ 1244 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2451": {
+ "hide_name": 1,
+ "bits": [ 1246 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2452": {
+ "hide_name": 1,
+ "bits": [ 1247 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2453": {
+ "hide_name": 1,
+ "bits": [ 1245 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2455": {
+ "hide_name": 1,
+ "bits": [ 1251 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2456": {
+ "hide_name": 1,
+ "bits": [ 1256 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2457": {
+ "hide_name": 1,
+ "bits": [ 1255 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2458": {
+ "hide_name": 1,
+ "bits": [ 1252 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2459_1": {
+ "hide_name": 1,
+ "bits": [ 1250 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2461": {
+ "hide_name": 1,
+ "bits": [ 1261 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2462": {
+ "hide_name": 1,
+ "bits": [ 1264 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2463": {
+ "hide_name": 1,
+ "bits": [ 1266 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2464": {
+ "hide_name": 1,
+ "bits": [ 1267 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2465_1": {
+ "hide_name": 1,
+ "bits": [ 1265 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2467_1": {
+ "hide_name": 1,
+ "bits": [ 1271 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2468_1": {
+ "hide_name": 1,
+ "bits": [ 1273 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2469_1": {
+ "hide_name": 1,
+ "bits": [ 1277 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2470_1": {
+ "hide_name": 1,
+ "bits": [ 1274 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2471_1": {
+ "hide_name": 1,
+ "bits": [ 1275 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2473_1": {
+ "hide_name": 1,
+ "bits": [ 1281 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2474_1": {
+ "hide_name": 1,
+ "bits": [ 1283 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2475": {
+ "hide_name": 1,
+ "bits": [ 1287 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2476": {
+ "hide_name": 1,
+ "bits": [ 1284 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2477": {
+ "hide_name": 1,
+ "bits": [ 158 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2477_1": {
+ "hide_name": 1,
+ "bits": [ 1285 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2478": {
+ "hide_name": 1,
+ "bits": [ 157 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2479": {
+ "hide_name": 1,
+ "bits": [ 159 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2481": {
+ "hide_name": 1,
+ "bits": [ 2307 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2481_1": {
+ "hide_name": 1,
+ "bits": [ 1292 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2482": {
+ "hide_name": 1,
+ "bits": [ 2308 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2484": {
+ "hide_name": 1,
+ "bits": [ 161 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2485": {
+ "hide_name": 1,
+ "bits": [ 162 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2485_1": {
+ "hide_name": 1,
+ "bits": [ 1297 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2486": {
+ "hide_name": 1,
+ "bits": [ 1295 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2487": {
+ "hide_name": 1,
+ "bits": [ 2304 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2487_1": {
+ "hide_name": 1,
+ "bits": [ 1296 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2488": {
+ "hide_name": 1,
+ "bits": [ 2305 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2488_1": {
+ "hide_name": 1,
+ "bits": [ 1308 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2489": {
+ "hide_name": 1,
+ "bits": [ 1307 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2490": {
+ "hide_name": 1,
+ "bits": [ 1310 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2491": {
+ "hide_name": 1,
+ "bits": [ 1311 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2493": {
+ "hide_name": 1,
+ "bits": [ 1317 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2494": {
+ "hide_name": 1,
+ "bits": [ 1316 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2495": {
+ "hide_name": 1,
+ "bits": [ 1320 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2499": {
+ "hide_name": 1,
+ "bits": [ 1329 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n25": {
+ "hide_name": 1,
+ "bits": [ 69 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2500": {
+ "hide_name": 1,
+ "bits": [ 1332 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2502": {
+ "hide_name": 1,
+ "bits": [ 1333 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2503": {
+ "hide_name": 1,
+ "bits": [ 1338 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2504": {
+ "hide_name": 1,
+ "bits": [ 1336 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2505": {
+ "hide_name": 1,
+ "bits": [ 1337 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2506": {
+ "hide_name": 1,
+ "bits": [ 1335 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2507": {
+ "hide_name": 1,
+ "bits": [ 1344 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2508": {
+ "hide_name": 1,
+ "bits": [ 1345 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2510": {
+ "hide_name": 1,
+ "bits": [ 1351 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2511": {
+ "hide_name": 1,
+ "bits": [ 1350 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2513": {
+ "hide_name": 1,
+ "bits": [ 1358 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2514": {
+ "hide_name": 1,
+ "bits": [ 1357 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2516": {
+ "hide_name": 1,
+ "bits": [ 1365 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2517": {
+ "hide_name": 1,
+ "bits": [ 1364 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2519": {
+ "hide_name": 1,
+ "bits": [ 1371 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2520": {
+ "hide_name": 1,
+ "bits": [ 1370 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2522": {
+ "hide_name": 1,
+ "bits": [ 1376 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2523": {
+ "hide_name": 1,
+ "bits": [ 1375 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2525": {
+ "hide_name": 1,
+ "bits": [ 1382 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2526": {
+ "hide_name": 1,
+ "bits": [ 1381 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2528": {
+ "hide_name": 1,
+ "bits": [ 1389 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2529_1": {
+ "hide_name": 1,
+ "bits": [ 1388 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2532": {
+ "hide_name": 1,
+ "bits": [ 1394 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2534_1": {
+ "hide_name": 1,
+ "bits": [ 1399 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2535_1": {
+ "hide_name": 1,
+ "bits": [ 1401 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2536_1": {
+ "hide_name": 1,
+ "bits": [ 1398 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2537": {
+ "hide_name": 1,
+ "bits": [ 1402 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2538_1": {
+ "hide_name": 1,
+ "bits": [ 1403 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2539_1": {
+ "hide_name": 1,
+ "bits": [ 1408 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2540_1": {
+ "hide_name": 1,
+ "bits": [ 1404 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2541": {
+ "hide_name": 1,
+ "bits": [ 1405 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2543": {
+ "hide_name": 1,
+ "bits": [ 1415 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2544_1": {
+ "hide_name": 1,
+ "bits": [ 1417 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2545": {
+ "hide_name": 1,
+ "bits": [ 1418 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2546_1": {
+ "hide_name": 1,
+ "bits": [ 1420 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2547_1": {
+ "hide_name": 1,
+ "bits": [ 1419 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2549_1": {
+ "hide_name": 1,
+ "bits": [ 1421 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2550": {
+ "hide_name": 1,
+ "bits": [ 1423 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2587": {
+ "hide_name": 1,
+ "bits": [ 1328 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2592": {
+ "hide_name": 1,
+ "bits": [ 880 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2593": {
+ "hide_name": 1,
+ "bits": [ 881 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2594": {
+ "hide_name": 1,
+ "bits": [ 883 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2596": {
+ "hide_name": 1,
+ "bits": [ 1327 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2610": {
+ "hide_name": 1,
+ "bits": [ 887 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2612": {
+ "hide_name": 1,
+ "bits": [ 889 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2614": {
+ "hide_name": 1,
+ "bits": [ 891 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2616": {
+ "hide_name": 1,
+ "bits": [ 893 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2618": {
+ "hide_name": 1,
+ "bits": [ 895 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2620": {
+ "hide_name": 1,
+ "bits": [ 897 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2622": {
+ "hide_name": 1,
+ "bits": [ 899 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2624": {
+ "hide_name": 1,
+ "bits": [ 901 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2626": {
+ "hide_name": 1,
+ "bits": [ 903 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2628": {
+ "hide_name": 1,
+ "bits": [ 905 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2630": {
+ "hide_name": 1,
+ "bits": [ 907 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2632": {
+ "hide_name": 1,
+ "bits": [ 909 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2634": {
+ "hide_name": 1,
+ "bits": [ 911 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2636": {
+ "hide_name": 1,
+ "bits": [ 913 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2638": {
+ "hide_name": 1,
+ "bits": [ 914 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2640": {
+ "hide_name": 1,
+ "bits": [ 916 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2641": {
+ "hide_name": 1,
+ "bits": [ 917 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2642": {
+ "hide_name": 1,
+ "bits": [ 918 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2643": {
+ "hide_name": 1,
+ "bits": [ 919 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2644": {
+ "hide_name": 1,
+ "bits": [ 920 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2645": {
+ "hide_name": 1,
+ "bits": [ 921 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2646": {
+ "hide_name": 1,
+ "bits": [ 922 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2647": {
+ "hide_name": 1,
+ "bits": [ 923 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2648": {
+ "hide_name": 1,
+ "bits": [ 924 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2649": {
+ "hide_name": 1,
+ "bits": [ 925 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2651": {
+ "hide_name": 1,
+ "bits": [ 926 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2653": {
+ "hide_name": 1,
+ "bits": [ 927 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2655": {
+ "hide_name": 1,
+ "bits": [ 928 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2657": {
+ "hide_name": 1,
+ "bits": [ 929 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2659": {
+ "hide_name": 1,
+ "bits": [ 930 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2661": {
+ "hide_name": 1,
+ "bits": [ 931 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2661_1": {
+ "hide_name": 1,
+ "bits": [ 1597 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2663": {
+ "hide_name": 1,
+ "bits": [ 932 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2663_1": {
+ "hide_name": 1,
+ "bits": [ 1603 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2664": {
+ "hide_name": 1,
+ "bits": [ 933 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2665": {
+ "hide_name": 1,
+ "bits": [ 1607 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2666": {
+ "hide_name": 1,
+ "bits": [ 934 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2667_1": {
+ "hide_name": 1,
+ "bits": [ 1611 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2669_1": {
+ "hide_name": 1,
+ "bits": [ 1615 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2671_1": {
+ "hide_name": 1,
+ "bits": [ 1619 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2673_1": {
+ "hide_name": 1,
+ "bits": [ 1623 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2675_1": {
+ "hide_name": 1,
+ "bits": [ 1627 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2677_1": {
+ "hide_name": 1,
+ "bits": [ 1632 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2679_1": {
+ "hide_name": 1,
+ "bits": [ 1636 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2681_1": {
+ "hide_name": 1,
+ "bits": [ 1640 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2683_1": {
+ "hide_name": 1,
+ "bits": [ 1644 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2684": {
+ "hide_name": 1,
+ "bits": [ 937 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2685_1": {
+ "hide_name": 1,
+ "bits": [ 1648 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2686": {
+ "hide_name": 1,
+ "bits": [ 940 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2687_1": {
+ "hide_name": 1,
+ "bits": [ 1652 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2688": {
+ "hide_name": 1,
+ "bits": [ 943 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2689_1": {
+ "hide_name": 1,
+ "bits": [ 1656 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2690": {
+ "hide_name": 1,
+ "bits": [ 946 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2691_1": {
+ "hide_name": 1,
+ "bits": [ 1660 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2692": {
+ "hide_name": 1,
+ "bits": [ 949 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2693_1": {
+ "hide_name": 1,
+ "bits": [ 1664 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2694": {
+ "hide_name": 1,
+ "bits": [ 952 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2695_1": {
+ "hide_name": 1,
+ "bits": [ 1668 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2696": {
+ "hide_name": 1,
+ "bits": [ 955 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2697_1": {
+ "hide_name": 1,
+ "bits": [ 1672 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2698": {
+ "hide_name": 1,
+ "bits": [ 958 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2699": {
+ "hide_name": 1,
+ "bits": [ 962 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2699_1": {
+ "hide_name": 1,
+ "bits": [ 1676 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n27": {
+ "hide_name": 1,
+ "bits": [ 71 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2700": {
+ "hide_name": 1,
+ "bits": [ 989 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2701": {
+ "hide_name": 1,
+ "bits": [ 1010 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2701_1": {
+ "hide_name": 1,
+ "bits": [ 1680 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2702": {
+ "hide_name": 1,
+ "bits": [ 1031 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2703": {
+ "hide_name": 1,
+ "bits": [ 1052 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2703_1": {
+ "hide_name": 1,
+ "bits": [ 1684 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2704": {
+ "hide_name": 1,
+ "bits": [ 1072 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2705": {
+ "hide_name": 1,
+ "bits": [ 1092 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2705_1": {
+ "hide_name": 1,
+ "bits": [ 1688 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2706": {
+ "hide_name": 1,
+ "bits": [ 1114 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2707": {
+ "hide_name": 1,
+ "bits": [ 1134 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2707_1": {
+ "hide_name": 1,
+ "bits": [ 1692 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2708": {
+ "hide_name": 1,
+ "bits": [ 1135 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2709": {
+ "hide_name": 1,
+ "bits": [ 1136 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2709_1": {
+ "hide_name": 1,
+ "bits": [ 1695 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2710": {
+ "hide_name": 1,
+ "bits": [ 1138 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2711_1": {
+ "hide_name": 1,
+ "bits": [ 1698 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2713_1": {
+ "hide_name": 1,
+ "bits": [ 1701 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2715_1": {
+ "hide_name": 1,
+ "bits": [ 1704 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2717_1": {
+ "hide_name": 1,
+ "bits": [ 1707 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2719_1": {
+ "hide_name": 1,
+ "bits": [ 1710 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2721_1": {
+ "hide_name": 1,
+ "bits": [ 1713 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2723_1": {
+ "hide_name": 1,
+ "bits": [ 1716 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2725_1": {
+ "hide_name": 1,
+ "bits": [ 1718 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2727": {
+ "hide_name": 1,
+ "bits": [ 1139 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2728": {
+ "hide_name": 1,
+ "bits": [ 1140 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2729": {
+ "hide_name": 1,
+ "bits": [ 1142 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2730": {
+ "hide_name": 1,
+ "bits": [ 1144 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2731": {
+ "hide_name": 1,
+ "bits": [ 1146 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2732": {
+ "hide_name": 1,
+ "bits": [ 1148 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2733": {
+ "hide_name": 1,
+ "bits": [ 1150 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2734": {
+ "hide_name": 1,
+ "bits": [ 1152 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2735": {
+ "hide_name": 1,
+ "bits": [ 1157 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2736": {
+ "hide_name": 1,
+ "bits": [ 1173 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2737": {
+ "hide_name": 1,
+ "bits": [ 1184 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2738": {
+ "hide_name": 1,
+ "bits": [ 1193 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2739": {
+ "hide_name": 1,
+ "bits": [ 1197 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2740": {
+ "hide_name": 1,
+ "bits": [ 1202 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2741": {
+ "hide_name": 1,
+ "bits": [ 1207 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2742": {
+ "hide_name": 1,
+ "bits": [ 1209 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2743": {
+ "hide_name": 1,
+ "bits": [ 1212 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2744": {
+ "hide_name": 1,
+ "bits": [ 1223 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2745": {
+ "hide_name": 1,
+ "bits": [ 1232 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2746": {
+ "hide_name": 1,
+ "bits": [ 1242 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2747": {
+ "hide_name": 1,
+ "bits": [ 1253 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2748": {
+ "hide_name": 1,
+ "bits": [ 1262 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2749": {
+ "hide_name": 1,
+ "bits": [ 1272 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2750": {
+ "hide_name": 1,
+ "bits": [ 1282 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2751": {
+ "hide_name": 1,
+ "bits": [ 2351 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2752": {
+ "hide_name": 1,
+ "bits": [ 1334 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2753": {
+ "hide_name": 1,
+ "bits": [ 1352 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2754": {
+ "hide_name": 1,
+ "bits": [ 1359 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2755": {
+ "hide_name": 1,
+ "bits": [ 1366 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2756": {
+ "hide_name": 1,
+ "bits": [ 1372 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2757": {
+ "hide_name": 1,
+ "bits": [ 1377 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2758": {
+ "hide_name": 1,
+ "bits": [ 1383 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2765_1": {
+ "hide_name": 1,
+ "bits": [ 1795 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2766": {
+ "hide_name": 1,
+ "bits": [ 1390 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2766_1": {
+ "hide_name": 1,
+ "bits": [ 1799 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2767": {
+ "hide_name": 1,
+ "bits": [ 1802 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2768": {
+ "hide_name": 1,
+ "bits": [ 1800 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2769": {
+ "hide_name": 1,
+ "bits": [ 1797 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2770": {
+ "hide_name": 1,
+ "bits": [ 1393 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2770_1": {
+ "hide_name": 1,
+ "bits": [ 1798 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2771": {
+ "hide_name": 1,
+ "bits": [ 1397 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2772": {
+ "hide_name": 1,
+ "bits": [ 1400 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2772_1": {
+ "hide_name": 1,
+ "bits": [ 1804 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2773": {
+ "hide_name": 1,
+ "bits": [ 1416 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2773_1": {
+ "hide_name": 1,
+ "bits": [ 1807 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2774": {
+ "hide_name": 1,
+ "bits": [ 1422 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2774_1": {
+ "hide_name": 1,
+ "bits": [ 1806 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2776": {
+ "hide_name": 1,
+ "bits": [ 1810 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2777": {
+ "hide_name": 1,
+ "bits": [ 1813 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2778": {
+ "hide_name": 1,
+ "bits": [ 398 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2778_1": {
+ "hide_name": 1,
+ "bits": [ 1812 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2780": {
+ "hide_name": 1,
+ "bits": [ 1816 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2781": {
+ "hide_name": 1,
+ "bits": [ 396 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2781_1": {
+ "hide_name": 1,
+ "bits": [ 1819 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2782": {
+ "hide_name": 1,
+ "bits": [ 1424 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2782_1": {
+ "hide_name": 1,
+ "bits": [ 1818 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2784": {
+ "hide_name": 1,
+ "bits": [ 1425 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2784_1": {
+ "hide_name": 1,
+ "bits": [ 1822 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2785": {
+ "hide_name": 1,
+ "bits": [ 1426 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2785_1": {
+ "hide_name": 1,
+ "bits": [ 1825 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2786": {
+ "hide_name": 1,
+ "bits": [ 1824 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2787": {
+ "hide_name": 1,
+ "bits": [ 1427 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2788": {
+ "hide_name": 1,
+ "bits": [ 1428 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2788_1": {
+ "hide_name": 1,
+ "bits": [ 1828 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2789": {
+ "hide_name": 1,
+ "bits": [ 1429 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2789_1": {
+ "hide_name": 1,
+ "bits": [ 1831 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2790": {
+ "hide_name": 1,
+ "bits": [ 1430 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2790_1": {
+ "hide_name": 1,
+ "bits": [ 1830 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2791": {
+ "hide_name": 1,
+ "bits": [ 1431 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2792": {
+ "hide_name": 1,
+ "bits": [ 1432 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2792_1": {
+ "hide_name": 1,
+ "bits": [ 1834 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2793": {
+ "hide_name": 1,
+ "bits": [ 1433 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2793_1": {
+ "hide_name": 1,
+ "bits": [ 1837 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2794": {
+ "hide_name": 1,
+ "bits": [ 1434 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2794_1": {
+ "hide_name": 1,
+ "bits": [ 1836 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2795": {
+ "hide_name": 1,
+ "bits": [ 1435 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2796": {
+ "hide_name": 1,
+ "bits": [ 1436 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2796_1": {
+ "hide_name": 1,
+ "bits": [ 1840 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2797": {
+ "hide_name": 1,
+ "bits": [ 1437 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2797_1": {
+ "hide_name": 1,
+ "bits": [ 1842 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2798": {
+ "hide_name": 1,
+ "bits": [ 1438 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2798_1": {
+ "hide_name": 1,
+ "bits": [ 1839 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2799": {
+ "hide_name": 1,
+ "bits": [ 1439 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2800": {
+ "hide_name": 1,
+ "bits": [ 1440 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2801": {
+ "hide_name": 1,
+ "bits": [ 1441 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2802": {
+ "hide_name": 1,
+ "bits": [ 1442 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2803": {
+ "hide_name": 1,
+ "bits": [ 1443 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2804": {
+ "hide_name": 1,
+ "bits": [ 1444 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2805": {
+ "hide_name": 1,
+ "bits": [ 1445 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2806": {
+ "hide_name": 1,
+ "bits": [ 1446 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2807": {
+ "hide_name": 1,
+ "bits": [ 1447 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2808": {
+ "hide_name": 1,
+ "bits": [ 1448 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2809": {
+ "hide_name": 1,
+ "bits": [ 1449 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2810": {
+ "hide_name": 1,
+ "bits": [ 1450 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2811": {
+ "hide_name": 1,
+ "bits": [ 1451 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2812": {
+ "hide_name": 1,
+ "bits": [ 1452 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2813": {
+ "hide_name": 1,
+ "bits": [ 1453 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2814": {
+ "hide_name": 1,
+ "bits": [ 1454 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2815": {
+ "hide_name": 1,
+ "bits": [ 1455 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2816": {
+ "hide_name": 1,
+ "bits": [ 77 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2819": {
+ "hide_name": 1,
+ "bits": [ 1457 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2820": {
+ "hide_name": 1,
+ "bits": [ 1458 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2821": {
+ "hide_name": 1,
+ "bits": [ 1459 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2823": {
+ "hide_name": 1,
+ "bits": [ 1460 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2824": {
+ "hide_name": 1,
+ "bits": [ 1461 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2825": {
+ "hide_name": 1,
+ "bits": [ 1462 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2826": {
+ "hide_name": 1,
+ "bits": [ 1463 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2827": {
+ "hide_name": 1,
+ "bits": [ 1464 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2828": {
+ "hide_name": 1,
+ "bits": [ 72 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2830": {
+ "hide_name": 1,
+ "bits": [ 565 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2833": {
+ "hide_name": 1,
+ "bits": [ 1465 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2835": {
+ "hide_name": 1,
+ "bits": [ 1466 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2837": {
+ "hide_name": 1,
+ "bits": [ 1467 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2839": {
+ "hide_name": 1,
+ "bits": [ 1468 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2841": {
+ "hide_name": 1,
+ "bits": [ 1469 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2843": {
+ "hide_name": 1,
+ "bits": [ 1470 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2845": {
+ "hide_name": 1,
+ "bits": [ 1471 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2847": {
+ "hide_name": 1,
+ "bits": [ 1472 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2849": {
+ "hide_name": 1,
+ "bits": [ 1473 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2851": {
+ "hide_name": 1,
+ "bits": [ 1474 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2853": {
+ "hide_name": 1,
+ "bits": [ 1475 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2853_1": {
+ "hide_name": 1,
+ "bits": [ 1947 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2855": {
+ "hide_name": 1,
+ "bits": [ 1476 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2855_1": {
+ "hide_name": 1,
+ "bits": [ 1950 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2857": {
+ "hide_name": 1,
+ "bits": [ 1477 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2857_1": {
+ "hide_name": 1,
+ "bits": [ 1952 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2859": {
+ "hide_name": 1,
+ "bits": [ 1478 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2859_1": {
+ "hide_name": 1,
+ "bits": [ 1955 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2861": {
+ "hide_name": 1,
+ "bits": [ 1479 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2861_1": {
+ "hide_name": 1,
+ "bits": [ 1958 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2863": {
+ "hide_name": 1,
+ "bits": [ 1480 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2863_1": {
+ "hide_name": 1,
+ "bits": [ 1961 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2864": {
+ "hide_name": 1,
+ "bits": [ 1481 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2865": {
+ "hide_name": 1,
+ "bits": [ 531 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2865_1": {
+ "hide_name": 1,
+ "bits": [ 1964 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2867_1": {
+ "hide_name": 1,
+ "bits": [ 1967 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2868": {
+ "hide_name": 1,
+ "bits": [ 1482 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2869_1": {
+ "hide_name": 1,
+ "bits": [ 1970 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2870": {
+ "hide_name": 1,
+ "bits": [ 1483 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2871": {
+ "hide_name": 1,
+ "bits": [ 1484 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2871_1": {
+ "hide_name": 1,
+ "bits": [ 1973 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2873": {
+ "hide_name": 1,
+ "bits": [ 1486 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2873_1": {
+ "hide_name": 1,
+ "bits": [ 1976 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2874": {
+ "hide_name": 1,
+ "bits": [ 1487 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2875": {
+ "hide_name": 1,
+ "bits": [ 1488 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2875_1": {
+ "hide_name": 1,
+ "bits": [ 1979 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2876": {
+ "hide_name": 1,
+ "bits": [ 1489 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2877": {
+ "hide_name": 1,
+ "bits": [ 1982 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2878": {
+ "hide_name": 1,
+ "bits": [ 1490 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2879": {
+ "hide_name": 1,
+ "bits": [ 517 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2879_1": {
+ "hide_name": 1,
+ "bits": [ 1985 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2881": {
+ "hide_name": 1,
+ "bits": [ 1491 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2881_1": {
+ "hide_name": 1,
+ "bits": [ 1988 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2882": {
+ "hide_name": 1,
+ "bits": [ 1492 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2883": {
+ "hide_name": 1,
+ "bits": [ 1493 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2883_1": {
+ "hide_name": 1,
+ "bits": [ 1991 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2884": {
+ "hide_name": 1,
+ "bits": [ 1494 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2885": {
+ "hide_name": 1,
+ "bits": [ 1495 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2885_1": {
+ "hide_name": 1,
+ "bits": [ 1994 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2886": {
+ "hide_name": 1,
+ "bits": [ 1496 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2887": {
+ "hide_name": 1,
+ "bits": [ 1497 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2887_1": {
+ "hide_name": 1,
+ "bits": [ 1997 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2888": {
+ "hide_name": 1,
+ "bits": [ 1498 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2889_1": {
+ "hide_name": 1,
+ "bits": [ 2000 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2890": {
+ "hide_name": 1,
+ "bits": [ 1499 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2891_1": {
+ "hide_name": 1,
+ "bits": [ 2003 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2892": {
+ "hide_name": 1,
+ "bits": [ 1501 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2893_1": {
+ "hide_name": 1,
+ "bits": [ 2006 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2894": {
+ "hide_name": 1,
+ "bits": [ 1503 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2895_1": {
+ "hide_name": 1,
+ "bits": [ 2009 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2896": {
+ "hide_name": 1,
+ "bits": [ 1505 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2897_1": {
+ "hide_name": 1,
+ "bits": [ 2012 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2898": {
+ "hide_name": 1,
+ "bits": [ 1507 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2899_1": {
+ "hide_name": 1,
+ "bits": [ 2015 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n29": {
+ "hide_name": 1,
+ "bits": [ 74 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2900": {
+ "hide_name": 1,
+ "bits": [ 1509 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2901_1": {
+ "hide_name": 1,
+ "bits": [ 2018 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2902": {
+ "hide_name": 1,
+ "bits": [ 1511 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2903_1": {
+ "hide_name": 1,
+ "bits": [ 2021 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2904": {
+ "hide_name": 1,
+ "bits": [ 1513 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2905_1": {
+ "hide_name": 1,
+ "bits": [ 2024 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2906": {
+ "hide_name": 1,
+ "bits": [ 1515 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2907_1": {
+ "hide_name": 1,
+ "bits": [ 2027 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2908": {
+ "hide_name": 1,
+ "bits": [ 1517 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2909": {
+ "hide_name": 1,
+ "bits": [ 1518 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2909_1": {
+ "hide_name": 1,
+ "bits": [ 2030 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2910": {
+ "hide_name": 1,
+ "bits": [ 1519 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2911_1": {
+ "hide_name": 1,
+ "bits": [ 2033 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2912": {
+ "hide_name": 1,
+ "bits": [ 1521 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2913_1": {
+ "hide_name": 1,
+ "bits": [ 2036 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2914": {
+ "hide_name": 1,
+ "bits": [ 1523 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2915_1": {
+ "hide_name": 1,
+ "bits": [ 2039 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2916": {
+ "hide_name": 1,
+ "bits": [ 1525 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2918": {
+ "hide_name": 1,
+ "bits": [ 1527 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2920": {
+ "hide_name": 1,
+ "bits": [ 1529 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2922": {
+ "hide_name": 1,
+ "bits": [ 1531 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2924": {
+ "hide_name": 1,
+ "bits": [ 1533 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2926": {
+ "hide_name": 1,
+ "bits": [ 1535 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2927_1": {
+ "hide_name": 1,
+ "bits": [ 2062 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2928": {
+ "hide_name": 1,
+ "bits": [ 1537 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2930": {
+ "hide_name": 1,
+ "bits": [ 1539 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2932": {
+ "hide_name": 1,
+ "bits": [ 1541 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2934": {
+ "hide_name": 1,
+ "bits": [ 1543 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2936": {
+ "hide_name": 1,
+ "bits": [ 1545 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2938": {
+ "hide_name": 1,
+ "bits": [ 1547 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2940": {
+ "hide_name": 1,
+ "bits": [ 1549 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2942": {
+ "hide_name": 1,
+ "bits": [ 1551 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2943": {
+ "hide_name": 1,
+ "bits": [ 1516 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2945": {
+ "hide_name": 1,
+ "bits": [ 1552 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2946": {
+ "hide_name": 1,
+ "bits": [ 1553 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2948": {
+ "hide_name": 1,
+ "bits": [ 1555 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2950": {
+ "hide_name": 1,
+ "bits": [ 1557 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2952": {
+ "hide_name": 1,
+ "bits": [ 1559 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2954": {
+ "hide_name": 1,
+ "bits": [ 1561 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2954_1": {
+ "hide_name": 1,
+ "bits": [ 2120 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2956": {
+ "hide_name": 1,
+ "bits": [ 1563 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2958": {
+ "hide_name": 1,
+ "bits": [ 1565 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2958_1": {
+ "hide_name": 1,
+ "bits": [ 2128 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2959": {
+ "hide_name": 1,
+ "bits": [ 1566 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2961": {
+ "hide_name": 1,
+ "bits": [ 1567 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2962": {
+ "hide_name": 1,
+ "bits": [ 1568 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2963": {
+ "hide_name": 1,
+ "bits": [ 1569 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2965": {
+ "hide_name": 1,
+ "bits": [ 1570 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2966": {
+ "hide_name": 1,
+ "bits": [ 1571 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2967": {
+ "hide_name": 1,
+ "bits": [ 1572 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2968": {
+ "hide_name": 1,
+ "bits": [ 1573 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2968_1": {
+ "hide_name": 1,
+ "bits": [ 2142 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2969": {
+ "hide_name": 1,
+ "bits": [ 1574 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2970": {
+ "hide_name": 1,
+ "bits": [ 1575 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2971": {
+ "hide_name": 1,
+ "bits": [ 1576 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2972": {
+ "hide_name": 1,
+ "bits": [ 1577 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2973": {
+ "hide_name": 1,
+ "bits": [ 1578 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2974": {
+ "hide_name": 1,
+ "bits": [ 1579 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2975": {
+ "hide_name": 1,
+ "bits": [ 1580 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2976": {
+ "hide_name": 1,
+ "bits": [ 1581 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2977": {
+ "hide_name": 1,
+ "bits": [ 1582 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2978": {
+ "hide_name": 1,
+ "bits": [ 1583 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2979": {
+ "hide_name": 1,
+ "bits": [ 1584 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2980": {
+ "hide_name": 1,
+ "bits": [ 57 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2982": {
+ "hide_name": 1,
+ "bits": [ 1585 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2983": {
+ "hide_name": 1,
+ "bits": [ 1586 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2983_1": {
+ "hide_name": 1,
+ "bits": [ 2163 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2984": {
+ "hide_name": 1,
+ "bits": [ 62 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2984_1": {
+ "hide_name": 1,
+ "bits": [ 2164 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2986": {
+ "hide_name": 1,
+ "bits": [ 1587 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2986_1": {
+ "hide_name": 1,
+ "bits": [ 2174 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2987": {
+ "hide_name": 1,
+ "bits": [ 1588 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2987_1": {
+ "hide_name": 1,
+ "bits": [ 2175 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2988": {
+ "hide_name": 1,
+ "bits": [ 64 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2989": {
+ "hide_name": 1,
+ "bits": [ 2184 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2990": {
+ "hide_name": 1,
+ "bits": [ 66 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2990_1": {
+ "hide_name": 1,
+ "bits": [ 2185 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2992": {
+ "hide_name": 1,
+ "bits": [ 1589 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2992_1": {
+ "hide_name": 1,
+ "bits": [ 2194 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2993": {
+ "hide_name": 1,
+ "bits": [ 1590 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2993_1": {
+ "hide_name": 1,
+ "bits": [ 2195 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2994": {
+ "hide_name": 1,
+ "bits": [ 1591 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2995": {
+ "hide_name": 1,
+ "bits": [ 1592 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2995_1": {
+ "hide_name": 1,
+ "bits": [ 2207 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2996": {
+ "hide_name": 1,
+ "bits": [ 1593 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2997": {
+ "hide_name": 1,
+ "bits": [ 1594 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2997_1": {
+ "hide_name": 1,
+ "bits": [ 2215 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2998": {
+ "hide_name": 1,
+ "bits": [ 1595 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2999": {
+ "hide_name": 1,
+ "bits": [ 1596 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n2999_1": {
+ "hide_name": 1,
+ "bits": [ 2223 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3": {
+ "hide_name": 1,
+ "bits": [ 18 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3000": {
+ "hide_name": 1,
+ "bits": [ 68 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3001": {
+ "hide_name": 1,
+ "bits": [ 2231 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3002": {
+ "hide_name": 1,
+ "bits": [ 70 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3009_1": {
+ "hide_name": 1,
+ "bits": [ 2240 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3075": {
+ "hide_name": 1,
+ "bits": [ 2306 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3076_1": {
+ "hide_name": 1,
+ "bits": [ 2309 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3077_1": {
+ "hide_name": 1,
+ "bits": [ 2310 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3078": {
+ "hide_name": 1,
+ "bits": [ 2311 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3079_1": {
+ "hide_name": 1,
+ "bits": [ 153 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3080_1": {
+ "hide_name": 1,
+ "bits": [ 2312 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3081": {
+ "hide_name": 1,
+ "bits": [ 2314 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3085": {
+ "hide_name": 1,
+ "bits": [ 2316 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3087": {
+ "hide_name": 1,
+ "bits": [ 1719 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3088": {
+ "hide_name": 1,
+ "bits": [ 1720 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3089": {
+ "hide_name": 1,
+ "bits": [ 1721 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3091": {
+ "hide_name": 1,
+ "bits": [ 1722 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3091_1": {
+ "hide_name": 1,
+ "bits": [ 2318 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3092": {
+ "hide_name": 1,
+ "bits": [ 1723 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3093": {
+ "hide_name": 1,
+ "bits": [ 1724 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3093_1": {
+ "hide_name": 1,
+ "bits": [ 2320 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3094": {
+ "hide_name": 1,
+ "bits": [ 1725 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3094_1": {
+ "hide_name": 1,
+ "bits": [ 2321 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3095": {
+ "hide_name": 1,
+ "bits": [ 1726 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3096_1": {
+ "hide_name": 1,
+ "bits": [ 2323 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3097": {
+ "hide_name": 1,
+ "bits": [ 1727 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3098": {
+ "hide_name": 1,
+ "bits": [ 1728 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3098_1": {
+ "hide_name": 1,
+ "bits": [ 2325 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3099": {
+ "hide_name": 1,
+ "bits": [ 2326 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n31": {
+ "hide_name": 1,
+ "bits": [ 79 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3100": {
+ "hide_name": 1,
+ "bits": [ 408 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3101": {
+ "hide_name": 1,
+ "bits": [ 2329 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3102": {
+ "hide_name": 1,
+ "bits": [ 1730 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3103": {
+ "hide_name": 1,
+ "bits": [ 1731 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3104": {
+ "hide_name": 1,
+ "bits": [ 1732 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3104_1": {
+ "hide_name": 1,
+ "bits": [ 2331 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3105": {
+ "hide_name": 1,
+ "bits": [ 1733 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3105_1": {
+ "hide_name": 1,
+ "bits": [ 2332 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3106": {
+ "hide_name": 1,
+ "bits": [ 1734 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3107": {
+ "hide_name": 1,
+ "bits": [ 1735 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3107_1": {
+ "hide_name": 1,
+ "bits": [ 2335 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3108": {
+ "hide_name": 1,
+ "bits": [ 1736 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3108_1": {
+ "hide_name": 1,
+ "bits": [ 2338 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3109": {
+ "hide_name": 1,
+ "bits": [ 1737 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3109_1": {
+ "hide_name": 1,
+ "bits": [ 2340 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3110": {
+ "hide_name": 1,
+ "bits": [ 1738 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3110_1": {
+ "hide_name": 1,
+ "bits": [ 707 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3111": {
+ "hide_name": 1,
+ "bits": [ 1739 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3112": {
+ "hide_name": 1,
+ "bits": [ 1740 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3112_1": {
+ "hide_name": 1,
+ "bits": [ 2341 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3113": {
+ "hide_name": 1,
+ "bits": [ 1741 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3114": {
+ "hide_name": 1,
+ "bits": [ 1742 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3114_1": {
+ "hide_name": 1,
+ "bits": [ 2342 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3115": {
+ "hide_name": 1,
+ "bits": [ 1743 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3115_1": {
+ "hide_name": 1,
+ "bits": [ 2343 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3116": {
+ "hide_name": 1,
+ "bits": [ 1744 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3116_1": {
+ "hide_name": 1,
+ "bits": [ 799 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3117": {
+ "hide_name": 1,
+ "bits": [ 1745 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3117_1": {
+ "hide_name": 1,
+ "bits": [ 2345 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3118": {
+ "hide_name": 1,
+ "bits": [ 1746 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3118_1": {
+ "hide_name": 1,
+ "bits": [ 2347 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3119": {
+ "hide_name": 1,
+ "bits": [ 1747 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3119_1": {
+ "hide_name": 1,
+ "bits": [ 2348 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3120": {
+ "hide_name": 1,
+ "bits": [ 1748 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3121": {
+ "hide_name": 1,
+ "bits": [ 1749 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3122": {
+ "hide_name": 1,
+ "bits": [ 1750 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3122_1": {
+ "hide_name": 1,
+ "bits": [ 2349 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3123": {
+ "hide_name": 1,
+ "bits": [ 1751 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3123_1": {
+ "hide_name": 1,
+ "bits": [ 2350 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3124": {
+ "hide_name": 1,
+ "bits": [ 1752 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3125": {
+ "hide_name": 1,
+ "bits": [ 1753 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3126": {
+ "hide_name": 1,
+ "bits": [ 1754 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3127": {
+ "hide_name": 1,
+ "bits": [ 1755 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3128": {
+ "hide_name": 1,
+ "bits": [ 1756 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3129": {
+ "hide_name": 1,
+ "bits": [ 1757 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3130": {
+ "hide_name": 1,
+ "bits": [ 1758 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3131": {
+ "hide_name": 1,
+ "bits": [ 1759 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3132": {
+ "hide_name": 1,
+ "bits": [ 1760 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3133": {
+ "hide_name": 1,
+ "bits": [ 1761 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3134": {
+ "hide_name": 1,
+ "bits": [ 1762 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3135": {
+ "hide_name": 1,
+ "bits": [ 1763 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3136": {
+ "hide_name": 1,
+ "bits": [ 1764 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3137": {
+ "hide_name": 1,
+ "bits": [ 1765 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3138": {
+ "hide_name": 1,
+ "bits": [ 1766 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3139": {
+ "hide_name": 1,
+ "bits": [ 1767 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3140": {
+ "hide_name": 1,
+ "bits": [ 1768 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3141": {
+ "hide_name": 1,
+ "bits": [ 1769 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3142": {
+ "hide_name": 1,
+ "bits": [ 1770 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3143": {
+ "hide_name": 1,
+ "bits": [ 1771 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3144": {
+ "hide_name": 1,
+ "bits": [ 1772 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3145": {
+ "hide_name": 1,
+ "bits": [ 1773 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3146": {
+ "hide_name": 1,
+ "bits": [ 1774 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3147": {
+ "hide_name": 1,
+ "bits": [ 1775 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3148": {
+ "hide_name": 1,
+ "bits": [ 1776 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3149": {
+ "hide_name": 1,
+ "bits": [ 1777 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3150": {
+ "hide_name": 1,
+ "bits": [ 1778 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3151": {
+ "hide_name": 1,
+ "bits": [ 1779 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3152": {
+ "hide_name": 1,
+ "bits": [ 1780 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3153": {
+ "hide_name": 1,
+ "bits": [ 1781 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3154": {
+ "hide_name": 1,
+ "bits": [ 1782 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3155": {
+ "hide_name": 1,
+ "bits": [ 1783 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3156": {
+ "hide_name": 1,
+ "bits": [ 1784 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3157": {
+ "hide_name": 1,
+ "bits": [ 1785 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3158": {
+ "hide_name": 1,
+ "bits": [ 1786 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3159": {
+ "hide_name": 1,
+ "bits": [ 1787 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3160": {
+ "hide_name": 1,
+ "bits": [ 1788 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3162": {
+ "hide_name": 1,
+ "bits": [ 1789 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3163": {
+ "hide_name": 1,
+ "bits": [ 1790 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3164": {
+ "hide_name": 1,
+ "bits": [ 1791 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3165": {
+ "hide_name": 1,
+ "bits": [ 1792 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3166": {
+ "hide_name": 1,
+ "bits": [ 1793 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3168": {
+ "hide_name": 1,
+ "bits": [ 1796 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3170": {
+ "hide_name": 1,
+ "bits": [ 1805 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3172": {
+ "hide_name": 1,
+ "bits": [ 1811 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3174": {
+ "hide_name": 1,
+ "bits": [ 1817 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3176": {
+ "hide_name": 1,
+ "bits": [ 1823 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3178": {
+ "hide_name": 1,
+ "bits": [ 1829 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3180": {
+ "hide_name": 1,
+ "bits": [ 1835 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3181": {
+ "hide_name": 1,
+ "bits": [ 1841 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3183": {
+ "hide_name": 1,
+ "bits": [ 1844 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3185": {
+ "hide_name": 1,
+ "bits": [ 1845 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3188": {
+ "hide_name": 1,
+ "bits": [ 1847 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3191": {
+ "hide_name": 1,
+ "bits": [ 1849 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3194": {
+ "hide_name": 1,
+ "bits": [ 1851 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3197": {
+ "hide_name": 1,
+ "bits": [ 1853 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3200": {
+ "hide_name": 1,
+ "bits": [ 1855 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3203": {
+ "hide_name": 1,
+ "bits": [ 1857 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3206": {
+ "hide_name": 1,
+ "bits": [ 1859 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3209": {
+ "hide_name": 1,
+ "bits": [ 1861 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3212": {
+ "hide_name": 1,
+ "bits": [ 1863 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3215": {
+ "hide_name": 1,
+ "bits": [ 1865 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3218": {
+ "hide_name": 1,
+ "bits": [ 1867 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3221": {
+ "hide_name": 1,
+ "bits": [ 1869 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3224": {
+ "hide_name": 1,
+ "bits": [ 1871 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3227": {
+ "hide_name": 1,
+ "bits": [ 1873 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3229": {
+ "hide_name": 1,
+ "bits": [ 379 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3231": {
+ "hide_name": 1,
+ "bits": [ 1875 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3232": {
+ "hide_name": 1,
+ "bits": [ 1876 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3233": {
+ "hide_name": 1,
+ "bits": [ 1877 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3234": {
+ "hide_name": 1,
+ "bits": [ 1878 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3235": {
+ "hide_name": 1,
+ "bits": [ 1879 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3236": {
+ "hide_name": 1,
+ "bits": [ 1880 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3237": {
+ "hide_name": 1,
+ "bits": [ 1881 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3238": {
+ "hide_name": 1,
+ "bits": [ 1882 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3239": {
+ "hide_name": 1,
+ "bits": [ 1883 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3240": {
+ "hide_name": 1,
+ "bits": [ 1884 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3241": {
+ "hide_name": 1,
+ "bits": [ 1885 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3242": {
+ "hide_name": 1,
+ "bits": [ 1886 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3243": {
+ "hide_name": 1,
+ "bits": [ 1887 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3244": {
+ "hide_name": 1,
+ "bits": [ 1888 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3245": {
+ "hide_name": 1,
+ "bits": [ 1889 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3246": {
+ "hide_name": 1,
+ "bits": [ 1890 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3247": {
+ "hide_name": 1,
+ "bits": [ 1891 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3248": {
+ "hide_name": 1,
+ "bits": [ 1892 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3249": {
+ "hide_name": 1,
+ "bits": [ 1893 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3250": {
+ "hide_name": 1,
+ "bits": [ 1894 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3251": {
+ "hide_name": 1,
+ "bits": [ 1895 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3252": {
+ "hide_name": 1,
+ "bits": [ 1896 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3253": {
+ "hide_name": 1,
+ "bits": [ 1897 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3254": {
+ "hide_name": 1,
+ "bits": [ 1898 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3255": {
+ "hide_name": 1,
+ "bits": [ 1899 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3256": {
+ "hide_name": 1,
+ "bits": [ 1900 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3257": {
+ "hide_name": 1,
+ "bits": [ 1901 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3258": {
+ "hide_name": 1,
+ "bits": [ 1902 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3259": {
+ "hide_name": 1,
+ "bits": [ 1903 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3260": {
+ "hide_name": 1,
+ "bits": [ 1904 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3261": {
+ "hide_name": 1,
+ "bits": [ 1905 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3262": {
+ "hide_name": 1,
+ "bits": [ 1906 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3263": {
+ "hide_name": 1,
+ "bits": [ 1907 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3264": {
+ "hide_name": 1,
+ "bits": [ 1908 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3265": {
+ "hide_name": 1,
+ "bits": [ 1909 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3266": {
+ "hide_name": 1,
+ "bits": [ 1910 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3267": {
+ "hide_name": 1,
+ "bits": [ 1911 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3268": {
+ "hide_name": 1,
+ "bits": [ 1912 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3269": {
+ "hide_name": 1,
+ "bits": [ 1913 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3270": {
+ "hide_name": 1,
+ "bits": [ 1914 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3271": {
+ "hide_name": 1,
+ "bits": [ 1915 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3272": {
+ "hide_name": 1,
+ "bits": [ 1916 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3273": {
+ "hide_name": 1,
+ "bits": [ 1917 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3274": {
+ "hide_name": 1,
+ "bits": [ 1918 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3275": {
+ "hide_name": 1,
+ "bits": [ 1919 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3276": {
+ "hide_name": 1,
+ "bits": [ 1920 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3277": {
+ "hide_name": 1,
+ "bits": [ 1921 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3278": {
+ "hide_name": 1,
+ "bits": [ 1922 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3279": {
+ "hide_name": 1,
+ "bits": [ 1923 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3280": {
+ "hide_name": 1,
+ "bits": [ 1924 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3281": {
+ "hide_name": 1,
+ "bits": [ 1925 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3282": {
+ "hide_name": 1,
+ "bits": [ 1926 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3283": {
+ "hide_name": 1,
+ "bits": [ 1927 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3284": {
+ "hide_name": 1,
+ "bits": [ 1928 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3285": {
+ "hide_name": 1,
+ "bits": [ 1929 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3286": {
+ "hide_name": 1,
+ "bits": [ 1930 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3287": {
+ "hide_name": 1,
+ "bits": [ 1931 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3288": {
+ "hide_name": 1,
+ "bits": [ 1932 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3292": {
+ "hide_name": 1,
+ "bits": [ 1935 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3294": {
+ "hide_name": 1,
+ "bits": [ 1937 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3296": {
+ "hide_name": 1,
+ "bits": [ 1939 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3298": {
+ "hide_name": 1,
+ "bits": [ 1941 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n33": {
+ "hide_name": 1,
+ "bits": [ 110 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3300": {
+ "hide_name": 1,
+ "bits": [ 1943 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3302": {
+ "hide_name": 1,
+ "bits": [ 1945 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3304": {
+ "hide_name": 1,
+ "bits": [ 1933 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3305": {
+ "hide_name": 1,
+ "bits": [ 1934 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3306": {
+ "hide_name": 1,
+ "bits": [ 1936 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3307": {
+ "hide_name": 1,
+ "bits": [ 1938 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3308": {
+ "hide_name": 1,
+ "bits": [ 1940 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3309": {
+ "hide_name": 1,
+ "bits": [ 1942 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3310": {
+ "hide_name": 1,
+ "bits": [ 1944 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3311": {
+ "hide_name": 1,
+ "bits": [ 1946 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3312": {
+ "hide_name": 1,
+ "bits": [ 2273 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3315": {
+ "hide_name": 1,
+ "bits": [ 1949 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3321": {
+ "hide_name": 1,
+ "bits": [ 1954 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3324": {
+ "hide_name": 1,
+ "bits": [ 1957 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3327": {
+ "hide_name": 1,
+ "bits": [ 1960 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3330": {
+ "hide_name": 1,
+ "bits": [ 1963 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3333": {
+ "hide_name": 1,
+ "bits": [ 1966 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3336": {
+ "hide_name": 1,
+ "bits": [ 1969 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3339": {
+ "hide_name": 1,
+ "bits": [ 1972 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3342": {
+ "hide_name": 1,
+ "bits": [ 1975 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3345": {
+ "hide_name": 1,
+ "bits": [ 1978 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3348": {
+ "hide_name": 1,
+ "bits": [ 1981 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3351": {
+ "hide_name": 1,
+ "bits": [ 1984 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3354": {
+ "hide_name": 1,
+ "bits": [ 1987 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3357": {
+ "hide_name": 1,
+ "bits": [ 1990 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3360": {
+ "hide_name": 1,
+ "bits": [ 1993 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3363": {
+ "hide_name": 1,
+ "bits": [ 1996 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3366": {
+ "hide_name": 1,
+ "bits": [ 1999 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3369": {
+ "hide_name": 1,
+ "bits": [ 2002 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3372": {
+ "hide_name": 1,
+ "bits": [ 2005 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3375": {
+ "hide_name": 1,
+ "bits": [ 2008 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3378": {
+ "hide_name": 1,
+ "bits": [ 2011 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3381": {
+ "hide_name": 1,
+ "bits": [ 2014 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3384": {
+ "hide_name": 1,
+ "bits": [ 2017 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3387": {
+ "hide_name": 1,
+ "bits": [ 2020 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3390": {
+ "hide_name": 1,
+ "bits": [ 2023 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3393": {
+ "hide_name": 1,
+ "bits": [ 2026 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3396": {
+ "hide_name": 1,
+ "bits": [ 2029 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3399": {
+ "hide_name": 1,
+ "bits": [ 2032 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3402": {
+ "hide_name": 1,
+ "bits": [ 2035 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3405": {
+ "hide_name": 1,
+ "bits": [ 2038 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3408": {
+ "hide_name": 1,
+ "bits": [ 2041 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3412": {
+ "hide_name": 1,
+ "bits": [ 1948 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3414": {
+ "hide_name": 1,
+ "bits": [ 1951 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3416": {
+ "hide_name": 1,
+ "bits": [ 1953 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3418": {
+ "hide_name": 1,
+ "bits": [ 1956 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3420": {
+ "hide_name": 1,
+ "bits": [ 1959 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3422": {
+ "hide_name": 1,
+ "bits": [ 1962 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3424": {
+ "hide_name": 1,
+ "bits": [ 1965 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3426": {
+ "hide_name": 1,
+ "bits": [ 1968 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3428": {
+ "hide_name": 1,
+ "bits": [ 1971 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3430": {
+ "hide_name": 1,
+ "bits": [ 1974 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3432": {
+ "hide_name": 1,
+ "bits": [ 1977 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3434": {
+ "hide_name": 1,
+ "bits": [ 1980 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3436": {
+ "hide_name": 1,
+ "bits": [ 1983 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3438": {
+ "hide_name": 1,
+ "bits": [ 1986 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3440": {
+ "hide_name": 1,
+ "bits": [ 1989 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3442": {
+ "hide_name": 1,
+ "bits": [ 1992 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3444": {
+ "hide_name": 1,
+ "bits": [ 1995 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3446": {
+ "hide_name": 1,
+ "bits": [ 1998 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3448": {
+ "hide_name": 1,
+ "bits": [ 2001 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3450": {
+ "hide_name": 1,
+ "bits": [ 2004 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3452": {
+ "hide_name": 1,
+ "bits": [ 2007 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3454": {
+ "hide_name": 1,
+ "bits": [ 2010 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3456": {
+ "hide_name": 1,
+ "bits": [ 2013 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3458": {
+ "hide_name": 1,
+ "bits": [ 2016 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3460": {
+ "hide_name": 1,
+ "bits": [ 2019 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3462": {
+ "hide_name": 1,
+ "bits": [ 2022 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3464": {
+ "hide_name": 1,
+ "bits": [ 2025 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3466": {
+ "hide_name": 1,
+ "bits": [ 2028 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3468": {
+ "hide_name": 1,
+ "bits": [ 2031 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3470": {
+ "hide_name": 1,
+ "bits": [ 2034 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3472": {
+ "hide_name": 1,
+ "bits": [ 2037 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3474": {
+ "hide_name": 1,
+ "bits": [ 2040 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3476": {
+ "hide_name": 1,
+ "bits": [ 2274 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3478": {
+ "hide_name": 1,
+ "bits": [ 2042 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3482": {
+ "hide_name": 1,
+ "bits": [ 2044 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3483": {
+ "hide_name": 1,
+ "bits": [ 2045 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3484": {
+ "hide_name": 1,
+ "bits": [ 2046 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3486": {
+ "hide_name": 1,
+ "bits": [ 2047 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3487": {
+ "hide_name": 1,
+ "bits": [ 2048 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3488": {
+ "hide_name": 1,
+ "bits": [ 2049 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3489": {
+ "hide_name": 1,
+ "bits": [ 2050 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3490": {
+ "hide_name": 1,
+ "bits": [ 2051 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3491": {
+ "hide_name": 1,
+ "bits": [ 2052 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3492": {
+ "hide_name": 1,
+ "bits": [ 2053 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3493": {
+ "hide_name": 1,
+ "bits": [ 2054 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3494": {
+ "hide_name": 1,
+ "bits": [ 2055 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3495": {
+ "hide_name": 1,
+ "bits": [ 2056 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3496": {
+ "hide_name": 1,
+ "bits": [ 2057 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3497": {
+ "hide_name": 1,
+ "bits": [ 2058 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3498": {
+ "hide_name": 1,
+ "bits": [ 2059 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3499": {
+ "hide_name": 1,
+ "bits": [ 108 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n35": {
+ "hide_name": 1,
+ "bits": [ 112 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3501": {
+ "hide_name": 1,
+ "bits": [ 2060 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3502": {
+ "hide_name": 1,
+ "bits": [ 2061 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3503": {
+ "hide_name": 1,
+ "bits": [ 2063 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3504": {
+ "hide_name": 1,
+ "bits": [ 2064 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3505": {
+ "hide_name": 1,
+ "bits": [ 2065 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3506": {
+ "hide_name": 1,
+ "bits": [ 2066 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3507": {
+ "hide_name": 1,
+ "bits": [ 2067 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3508": {
+ "hide_name": 1,
+ "bits": [ 2068 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3509": {
+ "hide_name": 1,
+ "bits": [ 2069 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3510": {
+ "hide_name": 1,
+ "bits": [ 2070 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3511": {
+ "hide_name": 1,
+ "bits": [ 2071 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3512": {
+ "hide_name": 1,
+ "bits": [ 2072 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3513": {
+ "hide_name": 1,
+ "bits": [ 2073 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3514": {
+ "hide_name": 1,
+ "bits": [ 2074 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3515": {
+ "hide_name": 1,
+ "bits": [ 2075 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3516": {
+ "hide_name": 1,
+ "bits": [ 2076 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3517": {
+ "hide_name": 1,
+ "bits": [ 2077 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3518": {
+ "hide_name": 1,
+ "bits": [ 2078 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3519": {
+ "hide_name": 1,
+ "bits": [ 2079 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3520": {
+ "hide_name": 1,
+ "bits": [ 2080 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3521": {
+ "hide_name": 1,
+ "bits": [ 2081 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3522": {
+ "hide_name": 1,
+ "bits": [ 2082 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3523": {
+ "hide_name": 1,
+ "bits": [ 2083 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3524": {
+ "hide_name": 1,
+ "bits": [ 2084 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3525": {
+ "hide_name": 1,
+ "bits": [ 2085 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3526": {
+ "hide_name": 1,
+ "bits": [ 2086 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3528": {
+ "hide_name": 1,
+ "bits": [ 2087 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3529": {
+ "hide_name": 1,
+ "bits": [ 2088 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3530": {
+ "hide_name": 1,
+ "bits": [ 2089 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3531": {
+ "hide_name": 1,
+ "bits": [ 2090 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3532": {
+ "hide_name": 1,
+ "bits": [ 2091 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3533": {
+ "hide_name": 1,
+ "bits": [ 2092 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3534": {
+ "hide_name": 1,
+ "bits": [ 2093 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3535": {
+ "hide_name": 1,
+ "bits": [ 2094 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3536": {
+ "hide_name": 1,
+ "bits": [ 2095 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3537": {
+ "hide_name": 1,
+ "bits": [ 2096 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3538": {
+ "hide_name": 1,
+ "bits": [ 2097 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3539": {
+ "hide_name": 1,
+ "bits": [ 2098 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3540": {
+ "hide_name": 1,
+ "bits": [ 2099 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3541": {
+ "hide_name": 1,
+ "bits": [ 2100 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3542": {
+ "hide_name": 1,
+ "bits": [ 2101 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3543": {
+ "hide_name": 1,
+ "bits": [ 2102 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3544": {
+ "hide_name": 1,
+ "bits": [ 2103 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3545": {
+ "hide_name": 1,
+ "bits": [ 2104 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3546": {
+ "hide_name": 1,
+ "bits": [ 2105 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3547": {
+ "hide_name": 1,
+ "bits": [ 2106 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3548": {
+ "hide_name": 1,
+ "bits": [ 2107 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3549": {
+ "hide_name": 1,
+ "bits": [ 2108 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3550": {
+ "hide_name": 1,
+ "bits": [ 2109 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3551": {
+ "hide_name": 1,
+ "bits": [ 2110 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3552": {
+ "hide_name": 1,
+ "bits": [ 2111 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3553": {
+ "hide_name": 1,
+ "bits": [ 2112 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3554": {
+ "hide_name": 1,
+ "bits": [ 2113 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3555": {
+ "hide_name": 1,
+ "bits": [ 2114 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3556": {
+ "hide_name": 1,
+ "bits": [ 2115 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3557": {
+ "hide_name": 1,
+ "bits": [ 111 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3558": {
+ "hide_name": 1,
+ "bits": [ 114 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3559": {
+ "hide_name": 1,
+ "bits": [ 116 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3560": {
+ "hide_name": 1,
+ "bits": [ 118 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3561": {
+ "hide_name": 1,
+ "bits": [ 120 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3562": {
+ "hide_name": 1,
+ "bits": [ 122 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3563": {
+ "hide_name": 1,
+ "bits": [ 124 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3564": {
+ "hide_name": 1,
+ "bits": [ 126 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3565": {
+ "hide_name": 1,
+ "bits": [ 128 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3566": {
+ "hide_name": 1,
+ "bits": [ 130 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3567": {
+ "hide_name": 1,
+ "bits": [ 14 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3635": {
+ "hide_name": 1,
+ "bits": [ 2119 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3642": {
+ "hide_name": 1,
+ "bits": [ 2124 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3645": {
+ "hide_name": 1,
+ "bits": [ 2127 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3652": {
+ "hide_name": 1,
+ "bits": [ 2132 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3655": {
+ "hide_name": 1,
+ "bits": [ 187 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3662": {
+ "hide_name": 1,
+ "bits": [ 189 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3665": {
+ "hide_name": 1,
+ "bits": [ 184 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3668": {
+ "hide_name": 1,
+ "bits": [ 2138 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3671": {
+ "hide_name": 1,
+ "bits": [ 2141 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3678": {
+ "hide_name": 1,
+ "bits": [ 2145 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3681": {
+ "hide_name": 1,
+ "bits": [ 2147 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3684": {
+ "hide_name": 1,
+ "bits": [ 2149 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n37": {
+ "hide_name": 1,
+ "bits": [ 113 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3796": {
+ "hide_name": 1,
+ "bits": [ 2276 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3798": {
+ "hide_name": 1,
+ "bits": [ 2278 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3800": {
+ "hide_name": 1,
+ "bits": [ 2279 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3801": {
+ "hide_name": 1,
+ "bits": [ 2280 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3803": {
+ "hide_name": 1,
+ "bits": [ 2281 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3813": {
+ "hide_name": 1,
+ "bits": [ 2282 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3817": {
+ "hide_name": 1,
+ "bits": [ 2303 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3819": {
+ "hide_name": 1,
+ "bits": [ 2283 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3821": {
+ "hide_name": 1,
+ "bits": [ 2284 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3823": {
+ "hide_name": 1,
+ "bits": [ 2285 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3825": {
+ "hide_name": 1,
+ "bits": [ 2286 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3827": {
+ "hide_name": 1,
+ "bits": [ 2287 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3829": {
+ "hide_name": 1,
+ "bits": [ 2288 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3831": {
+ "hide_name": 1,
+ "bits": [ 2289 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3833": {
+ "hide_name": 1,
+ "bits": [ 2290 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3835": {
+ "hide_name": 1,
+ "bits": [ 2291 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3837": {
+ "hide_name": 1,
+ "bits": [ 2293 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3839": {
+ "hide_name": 1,
+ "bits": [ 2294 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3841": {
+ "hide_name": 1,
+ "bits": [ 2295 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3843": {
+ "hide_name": 1,
+ "bits": [ 2296 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3845": {
+ "hide_name": 1,
+ "bits": [ 2297 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3847": {
+ "hide_name": 1,
+ "bits": [ 2298 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3849": {
+ "hide_name": 1,
+ "bits": [ 2299 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3851": {
+ "hide_name": 1,
+ "bits": [ 2300 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3853": {
+ "hide_name": 1,
+ "bits": [ 2302 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3865": {
+ "hide_name": 1,
+ "bits": [ 2339 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n3877": {
+ "hide_name": 1,
+ "bits": [ 810 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n39": {
+ "hide_name": 1,
+ "bits": [ 115 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n4011": {
+ "hide_name": 1,
+ "bits": [ 442 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n4013": {
+ "hide_name": 1,
+ "bits": [ 444 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n4093": {
+ "hide_name": 1,
+ "bits": [ 2161 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n4095": {
+ "hide_name": 1,
+ "bits": [ 2162 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n41": {
+ "hide_name": 1,
+ "bits": [ 117 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n4234": {
+ "hide_name": 1,
+ "bits": [ 2236 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n4238": {
+ "hide_name": 1,
+ "bits": [ 2237 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n4239": {
+ "hide_name": 1,
+ "bits": [ 2238 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n4253": {
+ "hide_name": 1,
+ "bits": [ 2334 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n4264": {
+ "hide_name": 1,
+ "bits": [ 2241 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n4274": {
+ "hide_name": 1,
+ "bits": [ 2250 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n43": {
+ "hide_name": 1,
+ "bits": [ 119 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n45": {
+ "hide_name": 1,
+ "bits": [ 121 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n47": {
+ "hide_name": 1,
+ "bits": [ 123 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n49": {
+ "hide_name": 1,
+ "bits": [ 125 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n5": {
+ "hide_name": 1,
+ "bits": [ 35 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n51": {
+ "hide_name": 1,
+ "bits": [ 127 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n53": {
+ "hide_name": 1,
+ "bits": [ 129 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n55": {
+ "hide_name": 1,
+ "bits": [ 131 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n56": {
+ "hide_name": 1,
+ "bits": [ 30 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n58": {
+ "hide_name": 1,
+ "bits": [ 1240 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n60": {
+ "hide_name": 1,
+ "bits": [ 1259 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n62": {
+ "hide_name": 1,
+ "bits": [ 1260 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n64": {
+ "hide_name": 1,
+ "bits": [ 1276 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n66": {
+ "hide_name": 1,
+ "bits": [ 1224 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n68": {
+ "hide_name": 1,
+ "bits": [ 1233 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n7": {
+ "hide_name": 1,
+ "bits": [ 37 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n70": {
+ "hide_name": 1,
+ "bits": [ 1254 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n72": {
+ "hide_name": 1,
+ "bits": [ 1270 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n74": {
+ "hide_name": 1,
+ "bits": [ 1238 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n76": {
+ "hide_name": 1,
+ "bits": [ 1258 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n78": {
+ "hide_name": 1,
+ "bits": [ 1269 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n80": {
+ "hide_name": 1,
+ "bits": [ 1227 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n82": {
+ "hide_name": 1,
+ "bits": [ 1257 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n84": {
+ "hide_name": 1,
+ "bits": [ 794 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n86": {
+ "hide_name": 1,
+ "bits": [ 466 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n88": {
+ "hide_name": 1,
+ "bits": [ 467 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n9": {
+ "hide_name": 1,
+ "bits": [ 39 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n90": {
+ "hide_name": 1,
+ "bits": [ 468 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n92": {
+ "hide_name": 1,
+ "bits": [ 469 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n94": {
+ "hide_name": 1,
+ "bits": [ 470 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n96": {
+ "hide_name": 1,
+ "bits": [ 471 ],
+ "attributes": {
+ }
+ },
+ "$abc$25143$n98": {
+ "hide_name": 1,
+ "bits": [ 165 ],
+ "attributes": {
+ }
+ },
+ "$add$top.v:2582$458_Y": {
+ "hide_name": 1,
+ "bits": [ 2368, 2904, 2371, 2374, 2377, 2380, 2383, 2386 ],
+ "attributes": {
+ "src": "top.v:2582"
+ }
+ },
+ "$add$top.v:2585$459_Y": {
+ "hide_name": 1,
+ "bits": [ 2387, 2905, 2390, 2393, 2396, 2399, 2402, 2405 ],
+ "attributes": {
+ "src": "top.v:2585"
+ }
+ },
+ "$add$top.v:2642$470_Y": {
+ "hide_name": 1,
+ "bits": [ 2413, 2906, 2456, 2461, 2463, 2465, 2467, 2469, 2471, 2473, 2415, 2417, 2419, 2421, 2423, 2425, 2427, 2429, 2431, 2433, 2436, 2438, 2440, 2442, 2444, 2446, 2448, 2450, 2452, 2454, 2458, 2460 ],
+ "attributes": {
+ "src": "top.v:2642"
+ }
+ },
+ "$add$top.v:2728$500_Y": {
+ "hide_name": 1,
+ "bits": [ 2480, 2907, 2482 ],
+ "attributes": {
+ "src": "top.v:2728"
+ }
+ },
+ "$add$top.v:2735$501_Y": {
+ "hide_name": 1,
+ "bits": [ 2483, 2908, 2485 ],
+ "attributes": {
+ "src": "top.v:2735"
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3934.C": {
+ "hide_name": 1,
+ "bits": [ 2909, 2352, 2353, 2354, 2355, 2356, 2357, 2358, 2359 ],
+ "attributes": {
+ "src": "top.v:1923|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:43"
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3947.C": {
+ "hide_name": 1,
+ "bits": [ 2910, 2360, 2361, 2362, 2363, 2364, 2365, 2366, 2367 ],
+ "attributes": {
+ "src": "top.v:1915|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:43"
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3960.C": {
+ "hide_name": 1,
+ "bits": [ 2911, 2912, 2369, 2372, 2375, 2378, 2381, 2384 ],
+ "attributes": {
+ "src": "top.v:2582|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:43"
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3963.C": {
+ "hide_name": 1,
+ "bits": [ 2913, 2914, 2388, 2391, 2394, 2397, 2400, 2403 ],
+ "attributes": {
+ "src": "top.v:2585|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:43"
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3966.C": {
+ "hide_name": 1,
+ "bits": [ 2915, 2916, 2406, 2407, 2408, 2409, 2410, 2411, 2412 ],
+ "attributes": {
+ "src": "top.v:2589|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:43"
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3969.C": {
+ "hide_name": 1,
+ "bits": [ 2917, 2918, 2435, 2457, 2462, 2464, 2466, 2468, 2470, 2472, 2414, 2416, 2418, 2420, 2422, 2424, 2426, 2428, 2430, 2432, 2434, 2437, 2439, 2441, 2443, 2445, 2447, 2449, 2451, 2453, 2455, 2459 ],
+ "attributes": {
+ "src": "top.v:2642|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:43"
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3978.C": {
+ "hide_name": 1,
+ "bits": [ 2919, 2920, 2474, 2475, 2476, 2477, 2478, 2479 ],
+ "attributes": {
+ "src": "top.v:2717|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:43"
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3981.C": {
+ "hide_name": 1,
+ "bits": [ 2921, 2922, 2481 ],
+ "attributes": {
+ "src": "top.v:2728|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:43"
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3984.C": {
+ "hide_name": 1,
+ "bits": [ 2923, 2924, 2484 ],
+ "attributes": {
+ "src": "top.v:2735|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:43"
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3987.C": {
+ "hide_name": 1,
+ "bits": [ 2925, 2926, 2486, 2487 ],
+ "attributes": {
+ "src": "top.v:2762|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:43"
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3990.C": {
+ "hide_name": 1,
+ "bits": [ 2927, 2928, 2929, 2930, 2533, 2535, 2537, 2539, 2541, 2543, 2489, 2490, 2492, 2494, 2496, 2498, 2500, 2502, 2504, 2506, 2508, 2510, 2512, 2514, 2516, 2518, 2520, 2522, 2524, 2526, 2528, 2530, 2532 ],
+ "attributes": {
+ "src": "top.v:2778|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:43"
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3993.C": {
+ "hide_name": 1,
+ "bits": [ 2931, 2932, 2545, 2546 ],
+ "attributes": {
+ "src": "top.v:2791|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:43"
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$3996.C": {
+ "hide_name": 1,
+ "bits": [ 2933, 2934, 2935, 2936, 2592, 2594, 2596, 2598, 2600, 2602, 2548, 2549, 2551, 2553, 2555, 2557, 2559, 2561, 2563, 2565, 2567, 2569, 2571, 2573, 2575, 2577, 2579, 2581, 2583, 2585, 2587, 2589, 2591 ],
+ "attributes": {
+ "src": "top.v:2810|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:43"
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4002.C": {
+ "hide_name": 1,
+ "bits": [ 2937, 2605, 2628, 2647, 2649, 2651, 2653, 2655, 2657, 2659, 2607, 2608, 2610, 2612, 2614, 2616, 2618, 2620, 2622, 2624, 2626, 2630, 2632, 2633, 2635, 2637, 2639, 2642, 2644 ],
+ "attributes": {
+ "src": "top.v:988|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:43"
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4005.C": {
+ "hide_name": 1,
+ "bits": [ 2938, 2939, 2661, 2662, 2663 ],
+ "attributes": {
+ "src": "top.v:1786|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:43"
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4008.C": {
+ "hide_name": 1,
+ "bits": [ 2940, 2941, 2664, 2665, 2666 ],
+ "attributes": {
+ "src": "top.v:1795|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:43"
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4011.C": {
+ "hide_name": 1,
+ "bits": [ 2942, 2667, 2668, 2669, 2670, 2671, 2672, 2673, 2674 ],
+ "attributes": {
+ "src": "top.v:1825|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:43"
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4014.C": {
+ "hide_name": 1,
+ "bits": [ 2943, 2944, 2675 ],
+ "attributes": {
+ "src": "top.v:1866|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:43"
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4017.C": {
+ "hide_name": 1,
+ "bits": [ 2945, 2946, 2676 ],
+ "attributes": {
+ "src": "top.v:1875|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:43"
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4020.C": {
+ "hide_name": 1,
+ "bits": [ 2947, 2948, 2683, 2684, 2685, 2686, 2687, 2688, 2689, 2690, 2677, 2678, 2679, 2680, 2681, 2682 ],
+ "attributes": {
+ "src": "top.v:2569|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:43"
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4023.C": {
+ "hide_name": 1,
+ "bits": [ 2949, 2950, 2691, 2693, 2695, 2697, 2699, 2701 ],
+ "attributes": {
+ "src": "top.v:1066|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:43"
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4026.C": {
+ "hide_name": 1,
+ "bits": [ 2951, 2952, 2705, 2706, 2707, 2708, 2709, 2710, 2711, 2712, 2703, 2704 ],
+ "attributes": {
+ "src": "top.v:2553|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:43"
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4029.C": {
+ "hide_name": 1,
+ "bits": [ 2953, 2954, 2713, 2714, 2715, 2716, 2717, 2718, 2719 ],
+ "attributes": {
+ "src": "top.v:2593|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:43"
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4032.C": {
+ "hide_name": 1,
+ "bits": [ 2955, 2956, 2720, 2721, 2722, 2723, 2724, 2725, 2726 ],
+ "attributes": {
+ "src": "top.v:2600|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:43"
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4035.C": {
+ "hide_name": 1,
+ "bits": [ 2957, 2958, 2738, 2749, 2751, 2752, 2753, 2754, 2755, 2756, 2727, 2728, 2729, 2730, 2731, 2732, 2733, 2734, 2735, 2736, 2737, 2739, 2740, 2741, 2742, 2743, 2744, 2745, 2746, 2747, 2748, 2750 ],
+ "attributes": {
+ "src": "top.v:2669|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:43"
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4038.C": {
+ "hide_name": 1,
+ "bits": [ 2959, 2960, 2768, 2769, 2770, 2771, 2772, 2773, 2774, 2775, 2757, 2758, 2759, 2760, 2761, 2762, 2763, 2764, 2765, 2766, 2767 ],
+ "attributes": {
+ "src": "top.v:2820|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:43"
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4041.C": {
+ "hide_name": 1,
+ "bits": [ 2961, 2962, 2776, 2777, 2778 ],
+ "attributes": {
+ "src": "top.v:3273|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:43"
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$4044.C": {
+ "hide_name": 1,
+ "bits": [ 2963, 2964, 2786, 2787, 2788, 2789, 2790, 2791, 2792, 2793, 2779, 2780, 2781, 2782, 2783, 2784, 2785 ],
+ "attributes": {
+ "src": "top.v:3283|/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/arith_map.v:43"
+ }
+ },
+ "$auto$memory_bram.cc:896:replace_cell$4058": {
+ "hide_name": 1,
+ "bits": [ 2965, 2966, 2967, 2968, 2882, 2884, 2886, 2888 ],
+ "attributes": {
+ "unused_bits": "0 1 2 3 4 5 6 7"
+ }
+ },
+ "$techmap4072\\mem.0.0.0.A1DATA_16": {
+ "hide_name": 1,
+ "bits": [ 2969, 2870, 2970, 2871, 2971, 2872, 2972, 2873, 2973, 2874, 2974, 2875, 2975, 2876, 2976, 2877 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/brams_map.v:255",
+ "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
+ }
+ },
+ "$techmap4074\\storage_1.0.0.0.A1DATA_16": {
+ "hide_name": 1,
+ "bits": [ 2890, 2977, 2891, 2892, 2893, 2978, 2894, 2895, 2896, 2979, 2898, 2899, 2900, 2980, 2902, 2903 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/brams_map.v:255",
+ "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
+ }
+ },
+ "$techmap4076\\storage.0.0.0.A1DATA_16": {
+ "hide_name": 1,
+ "bits": [ 2981, 2878, 2982, 2879, 2983, 2880, 2984, 2881, 2985, 2883, 2986, 2885, 2987, 2887, 2988, 2889 ],
+ "attributes": {
+ "src": "/usr/local/google/home/tansell/github/timvideos/litex-buildenv/build/conda/bin/../share/yosys/ice40/brams_map.v:255",
+ "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15"
+ }
+ },
+ "adr": {
+ "hide_name": 0,
+ "bits": [ 208, 209, 211, 210 ],
+ "attributes": {
+ "src": "top.v:705"
+ }
+ },
+ "array_muxed0": {
+ "hide_name": 0,
+ "bits": [ 1846, 1848, 1850, 1852, 1854, 1856, 1858, 1860, 1862, 1864, 1866, 1868, 1870, 1872, 936, 939, 942, 945, 948, 951, 954, 957, "x", "x", "x", "x", 268, 270, 269, "0" ],
+ "attributes": {
+ "init": 0,
+ "src": "top.v:899"
+ }
+ },
+ "array_muxed1": {
+ "hide_name": 0,
+ "bits": [ 1631, 1635, 1639, 1643, 1647, 1651, 1655, 1659, 1663, 1667, 1671, 1675, 1679, 1683, 1687, 1691, 1694, 1697, 1700, 1703, 1706, 1709, 1712, 1715, 1801, 1808, 1814, 1820, 1826, 1832, 1838, 1843 ],
+ "attributes": {
+ "src": "top.v:900"
+ }
+ },
+ "clk16": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "top.v:3"
+ }
+ },
+ "count": {
+ "hide_name": 0,
+ "bits": [ 85, 103, 104, 105, 106, 99, 100, 101, 102, 95, 96, 97, 98, 92, 93, 94, 2259 ],
+ "attributes": {
+ "src": "top.v:618"
+ }
+ },
+ "csrbank0_mux_value0_r": {
+ "hide_name": 0,
+ "bits": [ 349 ],
+ "attributes": {
+ "src": "top.v:624"
+ }
+ },
+ "csrbank0_mux_value0_w": {
+ "hide_name": 0,
+ "bits": [ 1309 ],
+ "attributes": {
+ "src": "top.v:625"
+ }
+ },
+ "csrbank0_storage_done_r": {
+ "hide_name": 0,
+ "bits": [ 349 ],
+ "attributes": {
+ "src": "top.v:651"
+ }
+ },
+ "csrbank0_storage_done_w": {
+ "hide_name": 0,
+ "bits": [ 2344 ],
+ "attributes": {
+ "src": "top.v:652"
+ }
+ },
+ "csrbank0_storage_enable0_r": {
+ "hide_name": 0,
+ "bits": [ 349 ],
+ "attributes": {
+ "src": "top.v:648"
+ }
+ },
+ "csrbank0_storage_enable0_w": {
+ "hide_name": 0,
+ "bits": [ 1290 ],
+ "attributes": {
+ "src": "top.v:649"
+ }
+ },
+ "csrbank0_storage_length0_r": {
+ "hide_name": 0,
+ "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
+ "attributes": {
+ "src": "top.v:657"
+ }
+ },
+ "csrbank0_storage_length0_w": {
+ "hide_name": 0,
+ "bits": [ 2292, 803, 1355, 1362, 800, 807, 808, 1385 ],
+ "attributes": {
+ "src": "top.v:658"
+ }
+ },
+ "csrbank0_storage_length1_r": {
+ "hide_name": 0,
+ "bits": [ 349 ],
+ "attributes": {
+ "src": "top.v:654"
+ }
+ },
+ "csrbank0_storage_length1_w": {
+ "hide_name": 0,
+ "bits": [ 2301 ],
+ "attributes": {
+ "src": "top.v:655"
+ }
+ },
+ "csrbank0_storage_mem_data_r": {
+ "hide_name": 0,
+ "bits": [ 349, 40 ],
+ "attributes": {
+ "src": "top.v:669"
+ }
+ },
+ "csrbank0_storage_mem_valid_r": {
+ "hide_name": 0,
+ "bits": [ 349 ],
+ "attributes": {
+ "src": "top.v:666"
+ }
+ },
+ "csrbank0_storage_offset0_r": {
+ "hide_name": 0,
+ "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
+ "attributes": {
+ "src": "top.v:663"
+ }
+ },
+ "csrbank0_storage_offset0_w": {
+ "hide_name": 0,
+ "bits": [ 1313, 1339, 1353, 1360, 1367, 713, 1378, 710 ],
+ "attributes": {
+ "src": "top.v:664"
+ }
+ },
+ "csrbank0_storage_offset1_r": {
+ "hide_name": 0,
+ "bits": [ 349 ],
+ "attributes": {
+ "src": "top.v:660"
+ }
+ },
+ "csrbank0_storage_offset1_w": {
+ "hide_name": 0,
+ "bits": [ 1312 ],
+ "attributes": {
+ "src": "top.v:661"
+ }
+ },
+ "csrbank0_subsampler_value0_r": {
+ "hide_name": 0,
+ "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
+ "attributes": {
+ "src": "top.v:645"
+ }
+ },
+ "csrbank0_subsampler_value0_w": {
+ "hide_name": 0,
+ "bits": [ 1291, 1343, 1354, 1361, 1368, 1373, 1379, 1384 ],
+ "attributes": {
+ "src": "top.v:646"
+ }
+ },
+ "csrbank0_subsampler_value1_r": {
+ "hide_name": 0,
+ "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
+ "attributes": {
+ "src": "top.v:642"
+ }
+ },
+ "csrbank0_subsampler_value1_w": {
+ "hide_name": 0,
+ "bits": [ 1314, 1342, 1356, 1363, 1369, 1374, 1380, 1386 ],
+ "attributes": {
+ "src": "top.v:643"
+ }
+ },
+ "csrbank0_trigger_done_r": {
+ "hide_name": 0,
+ "bits": [ 349 ],
+ "attributes": {
+ "src": "top.v:630"
+ }
+ },
+ "csrbank0_trigger_done_w": {
+ "hide_name": 0,
+ "bits": [ 1306 ],
+ "attributes": {
+ "src": "top.v:631"
+ }
+ },
+ "csrbank0_trigger_enable0_r": {
+ "hide_name": 0,
+ "bits": [ 349 ],
+ "attributes": {
+ "src": "top.v:627"
+ }
+ },
+ "csrbank0_trigger_enable0_w": {
+ "hide_name": 0,
+ "bits": [ 1315 ],
+ "attributes": {
+ "src": "top.v:628"
+ }
+ },
+ "csrbank0_trigger_mem_full_r": {
+ "hide_name": 0,
+ "bits": [ 349 ],
+ "attributes": {
+ "src": "top.v:639"
+ }
+ },
+ "csrbank0_trigger_mem_mask0_r": {
+ "hide_name": 0,
+ "bits": [ 349, 40 ],
+ "attributes": {
+ "src": "top.v:633"
+ }
+ },
+ "csrbank0_trigger_mem_mask0_w": {
+ "hide_name": 0,
+ "bits": [ 2346, 1340 ],
+ "attributes": {
+ "src": "top.v:634"
+ }
+ },
+ "csrbank0_trigger_mem_value0_r": {
+ "hide_name": 0,
+ "bits": [ 349, 40 ],
+ "attributes": {
+ "src": "top.v:636"
+ }
+ },
+ "csrbank0_trigger_mem_value0_w": {
+ "hide_name": 0,
+ "bits": [ 2328, 1341 ],
+ "attributes": {
+ "src": "top.v:637"
+ }
+ },
+ "csrbank1_bus_errors0_r": {
+ "hide_name": 0,
+ "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
+ "attributes": {
+ "src": "top.v:698"
+ }
+ },
+ "csrbank1_bus_errors0_w": {
+ "hide_name": 0,
+ "bits": [ 219, 251, 241, 242, 235, 236, 237, 238 ],
+ "attributes": {
+ "src": "top.v:699"
+ }
+ },
+ "csrbank1_bus_errors1_r": {
+ "hide_name": 0,
+ "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
+ "attributes": {
+ "src": "top.v:695"
+ }
+ },
+ "csrbank1_bus_errors1_w": {
+ "hide_name": 0,
+ "bits": [ 233, 234, 227, 228, 229, 230, 239, 240 ],
+ "attributes": {
+ "src": "top.v:696"
+ }
+ },
+ "csrbank1_bus_errors2_r": {
+ "hide_name": 0,
+ "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
+ "attributes": {
+ "src": "top.v:692"
+ }
+ },
+ "csrbank1_bus_errors2_w": {
+ "hide_name": 0,
+ "bits": [ 260, 261, 254, 255, 256, 257, 231, 232 ],
+ "attributes": {
+ "src": "top.v:693"
+ }
+ },
+ "csrbank1_bus_errors3_r": {
+ "hide_name": 0,
+ "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
+ "attributes": {
+ "src": "top.v:689"
+ }
+ },
+ "csrbank1_bus_errors3_w": {
+ "hide_name": 0,
+ "bits": [ 252, 253, 247, 248, 249, 250, 258, 259 ],
+ "attributes": {
+ "src": "top.v:690"
+ }
+ },
+ "csrbank1_scratch0_r": {
+ "hide_name": 0,
+ "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
+ "attributes": {
+ "src": "top.v:686"
+ }
+ },
+ "csrbank1_scratch0_w": {
+ "hide_name": 0,
+ "bits": [ 1218, 1229, 1230, 2989, 2990, 2991, 2992, 1280 ],
+ "attributes": {
+ "src": "top.v:687"
+ }
+ },
+ "csrbank1_scratch1_r": {
+ "hide_name": 0,
+ "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
+ "attributes": {
+ "src": "top.v:683"
+ }
+ },
+ "csrbank1_scratch1_w": {
+ "hide_name": 0,
+ "bits": [ 1217, 2993, 2994, 1243, 2995, 1263, 2996, 1286 ],
+ "attributes": {
+ "src": "top.v:684"
+ }
+ },
+ "csrbank1_scratch2_r": {
+ "hide_name": 0,
+ "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
+ "attributes": {
+ "src": "top.v:680"
+ }
+ },
+ "csrbank1_scratch2_w": {
+ "hide_name": 0,
+ "bits": [ 1210, 1228, 2997, 1248, 2998, 2999, 1279, 1289 ],
+ "attributes": {
+ "src": "top.v:681"
+ }
+ },
+ "csrbank1_scratch3_r": {
+ "hide_name": 0,
+ "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
+ "attributes": {
+ "src": "top.v:677"
+ }
+ },
+ "csrbank1_scratch3_w": {
+ "hide_name": 0,
+ "bits": [ 1219, 3000, 1239, 1249, 3001, 1268, 1278, 1288 ],
+ "attributes": {
+ "src": "top.v:678"
+ }
+ },
+ "csrbank2_git_commit0_r": {
+ "hide_name": 0,
+ "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
+ "attributes": {
+ "src": "top.v:771"
+ }
+ },
+ "csrbank2_git_commit10_r": {
+ "hide_name": 0,
+ "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
+ "attributes": {
+ "src": "top.v:741"
+ }
+ },
+ "csrbank2_git_commit11_r": {
+ "hide_name": 0,
+ "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
+ "attributes": {
+ "src": "top.v:738"
+ }
+ },
+ "csrbank2_git_commit12_r": {
+ "hide_name": 0,
+ "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
+ "attributes": {
+ "src": "top.v:735"
+ }
+ },
+ "csrbank2_git_commit13_r": {
+ "hide_name": 0,
+ "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
+ "attributes": {
+ "src": "top.v:732"
+ }
+ },
+ "csrbank2_git_commit14_r": {
+ "hide_name": 0,
+ "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
+ "attributes": {
+ "src": "top.v:729"
+ }
+ },
+ "csrbank2_git_commit15_r": {
+ "hide_name": 0,
+ "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
+ "attributes": {
+ "src": "top.v:726"
+ }
+ },
+ "csrbank2_git_commit16_r": {
+ "hide_name": 0,
+ "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
+ "attributes": {
+ "src": "top.v:723"
+ }
+ },
+ "csrbank2_git_commit17_r": {
+ "hide_name": 0,
+ "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
+ "attributes": {
+ "src": "top.v:720"
+ }
+ },
+ "csrbank2_git_commit18_r": {
+ "hide_name": 0,
+ "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
+ "attributes": {
+ "src": "top.v:717"
+ }
+ },
+ "csrbank2_git_commit19_r": {
+ "hide_name": 0,
+ "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
+ "attributes": {
+ "src": "top.v:714"
+ }
+ },
+ "csrbank2_git_commit1_r": {
+ "hide_name": 0,
+ "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
+ "attributes": {
+ "src": "top.v:768"
+ }
+ },
+ "csrbank2_git_commit2_r": {
+ "hide_name": 0,
+ "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
+ "attributes": {
+ "src": "top.v:765"
+ }
+ },
+ "csrbank2_git_commit3_r": {
+ "hide_name": 0,
+ "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
+ "attributes": {
+ "src": "top.v:762"
+ }
+ },
+ "csrbank2_git_commit4_r": {
+ "hide_name": 0,
+ "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
+ "attributes": {
+ "src": "top.v:759"
+ }
+ },
+ "csrbank2_git_commit5_r": {
+ "hide_name": 0,
+ "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
+ "attributes": {
+ "src": "top.v:756"
+ }
+ },
+ "csrbank2_git_commit6_r": {
+ "hide_name": 0,
+ "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
+ "attributes": {
+ "src": "top.v:753"
+ }
+ },
+ "csrbank2_git_commit7_r": {
+ "hide_name": 0,
+ "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
+ "attributes": {
+ "src": "top.v:750"
+ }
+ },
+ "csrbank2_git_commit8_r": {
+ "hide_name": 0,
+ "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
+ "attributes": {
+ "src": "top.v:747"
+ }
+ },
+ "csrbank2_git_commit9_r": {
+ "hide_name": 0,
+ "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
+ "attributes": {
+ "src": "top.v:744"
+ }
+ },
+ "csrbank2_platform_platform0_r": {
+ "hide_name": 0,
+ "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
+ "attributes": {
+ "src": "top.v:795"
+ }
+ },
+ "csrbank2_platform_platform1_r": {
+ "hide_name": 0,
+ "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
+ "attributes": {
+ "src": "top.v:792"
+ }
+ },
+ "csrbank2_platform_platform2_r": {
+ "hide_name": 0,
+ "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
+ "attributes": {
+ "src": "top.v:789"
+ }
+ },
+ "csrbank2_platform_platform3_r": {
+ "hide_name": 0,
+ "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
+ "attributes": {
+ "src": "top.v:786"
+ }
+ },
+ "csrbank2_platform_platform4_r": {
+ "hide_name": 0,
+ "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
+ "attributes": {
+ "src": "top.v:783"
+ }
+ },
+ "csrbank2_platform_platform5_r": {
+ "hide_name": 0,
+ "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
+ "attributes": {
+ "src": "top.v:780"
+ }
+ },
+ "csrbank2_platform_platform6_r": {
+ "hide_name": 0,
+ "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
+ "attributes": {
+ "src": "top.v:777"
+ }
+ },
+ "csrbank2_platform_platform7_r": {
+ "hide_name": 0,
+ "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
+ "attributes": {
+ "src": "top.v:774"
+ }
+ },
+ "csrbank2_platform_target0_r": {
+ "hide_name": 0,
+ "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
+ "attributes": {
+ "src": "top.v:819"
+ }
+ },
+ "csrbank2_platform_target1_r": {
+ "hide_name": 0,
+ "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
+ "attributes": {
+ "src": "top.v:816"
+ }
+ },
+ "csrbank2_platform_target2_r": {
+ "hide_name": 0,
+ "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
+ "attributes": {
+ "src": "top.v:813"
+ }
+ },
+ "csrbank2_platform_target3_r": {
+ "hide_name": 0,
+ "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
+ "attributes": {
+ "src": "top.v:810"
+ }
+ },
+ "csrbank2_platform_target4_r": {
+ "hide_name": 0,
+ "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
+ "attributes": {
+ "src": "top.v:807"
+ }
+ },
+ "csrbank2_platform_target5_r": {
+ "hide_name": 0,
+ "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
+ "attributes": {
+ "src": "top.v:804"
+ }
+ },
+ "csrbank2_platform_target6_r": {
+ "hide_name": 0,
+ "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
+ "attributes": {
+ "src": "top.v:801"
+ }
+ },
+ "csrbank2_platform_target7_r": {
+ "hide_name": 0,
+ "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
+ "attributes": {
+ "src": "top.v:798"
+ }
+ },
+ "csrbank3_in_r": {
+ "hide_name": 0,
+ "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
+ "attributes": {
+ "src": "top.v:827"
+ }
+ },
+ "csrbank3_out0_r": {
+ "hide_name": 0,
+ "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
+ "attributes": {
+ "src": "top.v:830"
+ }
+ },
+ "csrbank3_out0_w": {
+ "hide_name": 0,
+ "bits": [ 12, 11, 1141, 1143, 1145, 1147, 1149, 1151 ],
+ "attributes": {
+ "src": "top.v:831"
+ }
+ },
+ "csrbank4_bitbang0_r": {
+ "hide_name": 0,
+ "bits": [ 349, 40, 36, 17 ],
+ "attributes": {
+ "src": "top.v:838"
+ }
+ },
+ "csrbank4_bitbang0_w": {
+ "hide_name": 0,
+ "bits": [ 796, 790, 793, 1137 ],
+ "attributes": {
+ "src": "top.v:839"
+ }
+ },
+ "csrbank4_bitbang_en0_r": {
+ "hide_name": 0,
+ "bits": [ 349 ],
+ "attributes": {
+ "src": "top.v:844"
+ }
+ },
+ "csrbank4_bitbang_en0_w": {
+ "hide_name": 0,
+ "bits": [ 792 ],
+ "attributes": {
+ "src": "top.v:845"
+ }
+ },
+ "csrbank4_miso_r": {
+ "hide_name": 0,
+ "bits": [ 349 ],
+ "attributes": {
+ "src": "top.v:841"
+ }
+ },
+ "csrbank5_en0_r": {
+ "hide_name": 0,
+ "bits": [ 349 ],
+ "attributes": {
+ "src": "top.v:876"
+ }
+ },
+ "csrbank5_en0_w": {
+ "hide_name": 0,
+ "bits": [ 355 ],
+ "attributes": {
+ "src": "top.v:877"
+ }
+ },
+ "csrbank5_ev_enable0_r": {
+ "hide_name": 0,
+ "bits": [ 349 ],
+ "attributes": {
+ "src": "top.v:891"
+ }
+ },
+ "csrbank5_ev_enable0_w": {
+ "hide_name": 0,
+ "bits": [ 967 ],
+ "attributes": {
+ "src": "top.v:892"
+ }
+ },
+ "csrbank5_load0_r": {
+ "hide_name": 0,
+ "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
+ "attributes": {
+ "src": "top.v:861"
+ }
+ },
+ "csrbank5_load0_w": {
+ "hide_name": 0,
+ "bits": [ 985, 1004, 1027, 1036, 1053, 1089, 1110, 1125 ],
+ "attributes": {
+ "src": "top.v:862"
+ }
+ },
+ "csrbank5_load1_r": {
+ "hide_name": 0,
+ "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
+ "attributes": {
+ "src": "top.v:858"
+ }
+ },
+ "csrbank5_load1_w": {
+ "hide_name": 0,
+ "bits": [ 984, 1006, 1023, 1046, 1064, 1081, 1104, 1127 ],
+ "attributes": {
+ "src": "top.v:859"
+ }
+ },
+ "csrbank5_load2_r": {
+ "hide_name": 0,
+ "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
+ "attributes": {
+ "src": "top.v:855"
+ }
+ },
+ "csrbank5_load2_w": {
+ "hide_name": 0,
+ "bits": [ 966, 1005, 1017, 1048, 1066, 1073, 1108, 1124 ],
+ "attributes": {
+ "src": "top.v:856"
+ }
+ },
+ "csrbank5_load3_r": {
+ "hide_name": 0,
+ "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
+ "attributes": {
+ "src": "top.v:852"
+ }
+ },
+ "csrbank5_load3_w": {
+ "hide_name": 0,
+ "bits": [ 980, 993, 1019, 1042, 1065, 1088, 1107, 1120 ],
+ "attributes": {
+ "src": "top.v:853"
+ }
+ },
+ "csrbank5_reload0_r": {
+ "hide_name": 0,
+ "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
+ "attributes": {
+ "src": "top.v:873"
+ }
+ },
+ "csrbank5_reload0_w": {
+ "hide_name": 0,
+ "bits": [ 974, 990, 1018, 1041, 1068, 1085, 1106, 1131 ],
+ "attributes": {
+ "src": "top.v:874"
+ }
+ },
+ "csrbank5_reload1_r": {
+ "hide_name": 0,
+ "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
+ "attributes": {
+ "src": "top.v:870"
+ }
+ },
+ "csrbank5_reload1_w": {
+ "hide_name": 0,
+ "bits": [ 981, 1001, 1020, 1038, 1063, 1080, 1103, 1128 ],
+ "attributes": {
+ "src": "top.v:871"
+ }
+ },
+ "csrbank5_reload2_r": {
+ "hide_name": 0,
+ "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
+ "attributes": {
+ "src": "top.v:867"
+ }
+ },
+ "csrbank5_reload2_w": {
+ "hide_name": 0,
+ "bits": [ 971, 1000, 1025, 1032, 1060, 1087, 1098, 1115 ],
+ "attributes": {
+ "src": "top.v:868"
+ }
+ },
+ "csrbank5_reload3_r": {
+ "hide_name": 0,
+ "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
+ "attributes": {
+ "src": "top.v:864"
+ }
+ },
+ "csrbank5_reload3_w": {
+ "hide_name": 0,
+ "bits": [ 979, 1003, 1026, 1035, 1062, 1079, 1105, 1121 ],
+ "attributes": {
+ "src": "top.v:865"
+ }
+ },
+ "csrbank5_value0_r": {
+ "hide_name": 0,
+ "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
+ "attributes": {
+ "src": "top.v:888"
+ }
+ },
+ "csrbank5_value0_w": {
+ "hide_name": 0,
+ "bits": [ 982, 999, 1014, 1043, 1059, 1086, 1109, 1126 ],
+ "attributes": {
+ "src": "top.v:889"
+ }
+ },
+ "csrbank5_value1_r": {
+ "hide_name": 0,
+ "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
+ "attributes": {
+ "src": "top.v:885"
+ }
+ },
+ "csrbank5_value1_w": {
+ "hide_name": 0,
+ "bits": [ 983, 998, 1024, 1037, 1061, 1078, 1097, 1117 ],
+ "attributes": {
+ "src": "top.v:886"
+ }
+ },
+ "csrbank5_value2_r": {
+ "hide_name": 0,
+ "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
+ "attributes": {
+ "src": "top.v:882"
+ }
+ },
+ "csrbank5_value2_w": {
+ "hide_name": 0,
+ "bits": [ 963, 997, 1011, 1039, 1067, 1084, 1095, 1119 ],
+ "attributes": {
+ "src": "top.v:883"
+ }
+ },
+ "csrbank5_value3_r": {
+ "hide_name": 0,
+ "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
+ "attributes": {
+ "src": "top.v:879"
+ }
+ },
+ "csrbank5_value3_w": {
+ "hide_name": 0,
+ "bits": [ 969, 996, 1016, 1047, 1056, 1076, 1093, 1130 ],
+ "attributes": {
+ "src": "top.v:880"
+ }
+ },
+ "dat_r": {
+ "hide_name": 0,
+ "bits": [ 2170, 2181, 2191, 2201, 2209, 2217, 2225, 2233 ],
+ "attributes": {
+ "src": "top.v:706"
+ }
+ },
+ "fsm0_next_state": {
+ "hide_name": 0,
+ "bits": [ 2319, 813, 814 ],
+ "attributes": {
+ "init": 0,
+ "src": "top.v:595"
+ }
+ },
+ "fsm0_state": {
+ "hide_name": 0,
+ "bits": [ 639, 640, 641 ],
+ "attributes": {
+ "src": "top.v:594"
+ }
+ },
+ "fsm1_next_state": {
+ "hide_name": 0,
+ "bits": [ 197, 197, 196 ],
+ "attributes": {
+ "init": 0,
+ "src": "top.v:597"
+ }
+ },
+ "fsm1_state": {
+ "hide_name": 0,
+ "bits": [ 193, 194, 195 ],
+ "attributes": {
+ "src": "top.v:596"
+ }
+ },
+ "fsm_next_state": {
+ "hide_name": 0,
+ "bits": [ 833, 2327, 840 ],
+ "attributes": {
+ "init": 0,
+ "src": "top.v:589"
+ }
+ },
+ "fsm_state": {
+ "hide_name": 0,
+ "bits": [ 138, 139, 140 ],
+ "attributes": {
+ "src": "top.v:588"
+ }
+ },
+ "interface0_bank_bus_adr": {
+ "hide_name": 0,
+ "bits": [ 208, 209, 211, 210, 276, 1160, "x", "x", "x", 205, 207, 202, 203, 206 ],
+ "attributes": {
+ "src": "top.v:619"
+ }
+ },
+ "interface0_bank_bus_dat_r": {
+ "hide_name": 0,
+ "bits": [ 2172, 2182, 2192, 2202, 2210, 2218, 2226, 2234 ],
+ "attributes": {
+ "src": "top.v:622"
+ }
+ },
+ "interface0_bank_bus_dat_w": {
+ "hide_name": 0,
+ "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
+ "attributes": {
+ "src": "top.v:621"
+ }
+ },
+ "interface0_bank_bus_we": {
+ "hide_name": 0,
+ "bits": [ 198 ],
+ "attributes": {
+ "src": "top.v:620"
+ }
+ },
+ "interface1_bank_bus_adr": {
+ "hide_name": 0,
+ "bits": [ 208, 209, 211, 210, 276, 1160, "x", "x", "x", 205, 207, 202, 203, 206 ],
+ "attributes": {
+ "src": "top.v:672"
+ }
+ },
+ "interface1_bank_bus_dat_r": {
+ "hide_name": 0,
+ "bits": [ 2173, 2183, 2193, 2203, 2211, 2219, 2227, 2235 ],
+ "attributes": {
+ "src": "top.v:675"
+ }
+ },
+ "interface1_bank_bus_dat_w": {
+ "hide_name": 0,
+ "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
+ "attributes": {
+ "src": "top.v:674"
+ }
+ },
+ "interface1_bank_bus_we": {
+ "hide_name": 0,
+ "bits": [ 198 ],
+ "attributes": {
+ "src": "top.v:673"
+ }
+ },
+ "interface2_bank_bus_adr": {
+ "hide_name": 0,
+ "bits": [ 208, 209, 211, 210, 276, 1160, "x", "x", "x", 205, 207, 202, 203, 206 ],
+ "attributes": {
+ "src": "top.v:709"
+ }
+ },
+ "interface2_bank_bus_dat_r": {
+ "hide_name": 0,
+ "bits": [ 2166, 2177, 2187, 2197, 2204, 2212, 2220, 2228 ],
+ "attributes": {
+ "src": "top.v:712"
+ }
+ },
+ "interface2_bank_bus_dat_w": {
+ "hide_name": 0,
+ "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
+ "attributes": {
+ "src": "top.v:711"
+ }
+ },
+ "interface2_bank_bus_we": {
+ "hide_name": 0,
+ "bits": [ 198 ],
+ "attributes": {
+ "src": "top.v:710"
+ }
+ },
+ "interface3_bank_bus_adr": {
+ "hide_name": 0,
+ "bits": [ 208, 209, 211, 210, 276, 1160, "x", "x", "x", 205, 207, 202, 203, 206 ],
+ "attributes": {
+ "src": "top.v:822"
+ }
+ },
+ "interface3_bank_bus_dat_r": {
+ "hide_name": 0,
+ "bits": [ 2167, 2178, 2188, 2198, 2205, 2213, 2221, 2229 ],
+ "attributes": {
+ "src": "top.v:825"
+ }
+ },
+ "interface3_bank_bus_dat_w": {
+ "hide_name": 0,
+ "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
+ "attributes": {
+ "src": "top.v:824"
+ }
+ },
+ "interface3_bank_bus_we": {
+ "hide_name": 0,
+ "bits": [ 198 ],
+ "attributes": {
+ "src": "top.v:823"
+ }
+ },
+ "interface4_bank_bus_adr": {
+ "hide_name": 0,
+ "bits": [ 208, 209, 211, 210, 276, 1160, "x", "x", "x", 205, 207, 202, 203, 206 ],
+ "attributes": {
+ "src": "top.v:833"
+ }
+ },
+ "interface4_bank_bus_dat_r": {
+ "hide_name": 0,
+ "bits": [ 2168, 2179, 2189, 2199, "0", "0", "0", "0" ],
+ "attributes": {
+ "src": "top.v:836"
+ }
+ },
+ "interface4_bank_bus_dat_w": {
+ "hide_name": 0,
+ "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
+ "attributes": {
+ "src": "top.v:835"
+ }
+ },
+ "interface4_bank_bus_we": {
+ "hide_name": 0,
+ "bits": [ 198 ],
+ "attributes": {
+ "src": "top.v:834"
+ }
+ },
+ "interface5_bank_bus_adr": {
+ "hide_name": 0,
+ "bits": [ 208, 209, 211, 210, 276, 1160, "x", "x", "x", 205, 207, 202, 203, 206 ],
+ "attributes": {
+ "src": "top.v:847"
+ }
+ },
+ "interface5_bank_bus_dat_r": {
+ "hide_name": 0,
+ "bits": [ 2169, 2180, 2190, 2200, 2206, 2214, 2222, 2230 ],
+ "attributes": {
+ "src": "top.v:850"
+ }
+ },
+ "interface5_bank_bus_dat_w": {
+ "hide_name": 0,
+ "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
+ "attributes": {
+ "src": "top.v:849"
+ }
+ },
+ "interface5_bank_bus_we": {
+ "hide_name": 0,
+ "bits": [ 198 ],
+ "attributes": {
+ "src": "top.v:848"
+ }
+ },
+ "io_output": {
+ "hide_name": 0,
+ "bits": [ 12, 11, 1141, 1143, 1145, 1147, 1149, 1151 ],
+ "attributes": {
+ "src": "top.v:354"
+ }
+ },
+ "io_storage": {
+ "hide_name": 0,
+ "bits": [ 12, 11, 1141, 1143, 1145, 1147, 1149, 1151 ],
+ "attributes": {
+ "src": "top.v:357"
+ }
+ },
+ "io_storage_full": {
+ "hide_name": 0,
+ "bits": [ 12, 11, 1141, 1143, 1145, 1147, 1149, 1151 ],
+ "attributes": {
+ "src": "top.v:356"
+ }
+ },
+ "litescopeanalyzer_next_state": {
+ "hide_name": 0,
+ "bits": [ 798, 812 ],
+ "attributes": {
+ "init": 0,
+ "src": "top.v:599"
+ }
+ },
+ "litescopeanalyzer_state": {
+ "hide_name": 0,
+ "bits": [ 109, 107 ],
+ "attributes": {
+ "src": "top.v:598"
+ }
+ },
+ "memdat_1": {
+ "hide_name": 0,
+ "bits": [ 2794, 2795, 2897, 2901 ],
+ "attributes": {
+ "src": "top.v:3926"
+ }
+ },
+ "multiregimpl0_regs0": {
+ "hide_name": 0,
+ "bits": [ 2825 ],
+ "attributes": {
+ "src": "top.v:908"
+ }
+ },
+ "multiregimpl0_regs1": {
+ "hide_name": 0,
+ "bits": [ 401 ],
+ "attributes": {
+ "src": "top.v:909"
+ }
+ },
+ "multiregimpl10_regs0": {
+ "hide_name": 0,
+ "bits": [ 2865 ],
+ "attributes": {
+ "src": "top.v:930"
+ }
+ },
+ "multiregimpl10_regs1": {
+ "hide_name": 0,
+ "bits": [ 751 ],
+ "attributes": {
+ "src": "top.v:931"
+ }
+ },
+ "multiregimpl13_regs0": {
+ "hide_name": 0,
+ "bits": [ 2838 ],
+ "attributes": {
+ "src": "top.v:936"
+ }
+ },
+ "multiregimpl13_regs1": {
+ "hide_name": 0,
+ "bits": [ 2344 ],
+ "attributes": {
+ "src": "top.v:937"
+ }
+ },
+ "multiregimpl14_regs0": {
+ "hide_name": 0,
+ "bits": [ 2839, 2840, 2841 ],
+ "attributes": {
+ "src": "top.v:938"
+ }
+ },
+ "multiregimpl14_regs1": {
+ "hide_name": 0,
+ "bits": [ 1319, 1323, 1322 ],
+ "attributes": {
+ "src": "top.v:939"
+ }
+ },
+ "multiregimpl15_regs0": {
+ "hide_name": 0,
+ "bits": [ 2866, 2867, 2868 ],
+ "attributes": {
+ "src": "top.v:940"
+ }
+ },
+ "multiregimpl15_regs1": {
+ "hide_name": 0,
+ "bits": [ 723, 718, 724 ],
+ "attributes": {
+ "src": "top.v:941"
+ }
+ },
+ "multiregimpl1_regs0": {
+ "hide_name": 0,
+ "bits": [ 2827 ],
+ "attributes": {
+ "src": "top.v:910"
+ }
+ },
+ "multiregimpl1_regs1": {
+ "hide_name": 0,
+ "bits": [ 2828 ],
+ "attributes": {
+ "src": "top.v:911"
+ }
+ },
+ "multiregimpl1_regs2": {
+ "hide_name": 0,
+ "bits": [ 873 ],
+ "attributes": {
+ "src": "top.v:912"
+ }
+ },
+ "multiregimpl2_regs0": {
+ "hide_name": 0,
+ "bits": [ 2830 ],
+ "attributes": {
+ "src": "top.v:913"
+ }
+ },
+ "multiregimpl2_regs1": {
+ "hide_name": 0,
+ "bits": [ 2831 ],
+ "attributes": {
+ "src": "top.v:914"
+ }
+ },
+ "multiregimpl2_regs2": {
+ "hide_name": 0,
+ "bits": [ 871 ],
+ "attributes": {
+ "src": "top.v:915"
+ }
+ },
+ "multiregimpl4_regs0": {
+ "hide_name": 0,
+ "bits": [ 2842 ],
+ "attributes": {
+ "src": "top.v:918"
+ }
+ },
+ "multiregimpl4_regs1": {
+ "hide_name": 0,
+ "bits": [ 648 ],
+ "attributes": {
+ "src": "top.v:919"
+ }
+ },
+ "multiregimpl5_regs0": {
+ "hide_name": 0,
+ "bits": [ 2843 ],
+ "attributes": {
+ "src": "top.v:920"
+ }
+ },
+ "multiregimpl5_regs1": {
+ "hide_name": 0,
+ "bits": [ 76 ],
+ "attributes": {
+ "src": "top.v:921"
+ }
+ },
+ "multiregimpl6_regs0": {
+ "hide_name": 0,
+ "bits": [ 2832 ],
+ "attributes": {
+ "src": "top.v:922"
+ }
+ },
+ "multiregimpl6_regs1": {
+ "hide_name": 0,
+ "bits": [ 1306 ],
+ "attributes": {
+ "src": "top.v:923"
+ }
+ },
+ "multiregimpl7_regs0": {
+ "hide_name": 0,
+ "bits": [ 2844, 2845, 2846, 2847, 2848 ],
+ "attributes": {
+ "src": "top.v:924"
+ }
+ },
+ "multiregimpl7_regs1": {
+ "hide_name": 0,
+ "bits": [ 181, 178, 183, 172, 177 ],
+ "attributes": {
+ "src": "top.v:925"
+ }
+ },
+ "multiregimpl8_regs0": {
+ "hide_name": 0,
+ "bits": [ 2833, 2834, 2835, 2836, 2837 ],
+ "attributes": {
+ "src": "top.v:926"
+ }
+ },
+ "multiregimpl8_regs1": {
+ "hide_name": 0,
+ "bits": [ 1294, 1303, 1305, 1300, 1301 ],
+ "attributes": {
+ "src": "top.v:927"
+ }
+ },
+ "multiregimpl9_regs0": {
+ "hide_name": 0,
+ "bits": [ 2849, 2850, 2851, 2852, 2853, 2854, 2855, 2856, 2857, 2858, 2859, 2860, 2861, 2862, 2863, 2864 ],
+ "attributes": {
+ "src": "top.v:928"
+ }
+ },
+ "multiregimpl9_regs1": {
+ "hide_name": 0,
+ "bits": [ 704, 692, 696, 670, 674, 694, 672, 680, 698, 700, 706, 684, 676, 682, 686, 702 ],
+ "attributes": {
+ "src": "top.v:929"
+ }
+ },
+ "mux_payload_data": {
+ "hide_name": 0,
+ "bits": [ 9, 10 ],
+ "attributes": {
+ "src": "top.v:365"
+ }
+ },
+ "mux_value": {
+ "hide_name": 0,
+ "bits": [ 648 ],
+ "attributes": {
+ "src": "top.v:376"
+ }
+ },
+ "mux_value_storage": {
+ "hide_name": 0,
+ "bits": [ 1309 ],
+ "attributes": {
+ "src": "top.v:374"
+ }
+ },
+ "mux_value_storage_full": {
+ "hide_name": 0,
+ "bits": [ 1309 ],
+ "attributes": {
+ "src": "top.v:373"
+ }
+ },
+ "por_clk": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "top.v:77"
+ }
+ },
+ "por_rst": {
+ "hide_name": 0,
+ "bits": [ 13 ],
+ "attributes": {
+ "src": "top.v:78"
+ }
+ },
+ "rst1": {
+ "hide_name": 0,
+ "bits": [ 2869 ],
+ "attributes": {
+ "src": "top.v:907"
+ }
+ },
+ "rxbitstuffremover_next_state": {
+ "hide_name": 0,
+ "bits": [ 863, 867, 869 ],
+ "attributes": {
+ "init": 0,
+ "src": "top.v:585"
+ }
+ },
+ "rxbitstuffremover_state": {
+ "hide_name": 0,
+ "bits": [ 862, 864, 865 ],
+ "attributes": {
+ "src": "top.v:584"
+ }
+ },
+ "rxclockdatarecovery_next_state": {
+ "hide_name": 0,
+ "bits": [ 872, 875, 877 ],
+ "attributes": {
+ "init": 0,
+ "src": "top.v:581"
+ }
+ },
+ "rxclockdatarecovery_state": {
+ "hide_name": 0,
+ "bits": [ 133, 134, 132 ],
+ "attributes": {
+ "src": "top.v:580"
+ }
+ },
+ "rxnrzidecoder_next_state": {
+ "hide_name": 0,
+ "bits": [ 2155 ],
+ "attributes": {
+ "init": 0,
+ "src": "top.v:583"
+ }
+ },
+ "rxnrzidecoder_state": {
+ "hide_name": 0,
+ "bits": [ 2153 ],
+ "attributes": {
+ "src": "top.v:582"
+ }
+ },
+ "rxpacketdecode_next_state": {
+ "hide_name": 0,
+ "bits": [ 845, 854, 859 ],
+ "attributes": {
+ "init": 0,
+ "src": "top.v:587"
+ }
+ },
+ "rxpacketdecode_state": {
+ "hide_name": 0,
+ "bits": [ 847, 850, 851 ],
+ "attributes": {
+ "src": "top.v:586"
+ }
+ },
+ "scope_clk": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "top.v:359"
+ }
+ },
+ "scope_rst": {
+ "hide_name": 0,
+ "bits": [ 16 ],
+ "attributes": {
+ "src": "top.v:360"
+ }
+ },
+ "sel": {
+ "hide_name": 0,
+ "bits": [ 785 ],
+ "attributes": {
+ "src": "top.v:707"
+ }
+ },
+ "sel_r": {
+ "hide_name": 0,
+ "bits": [ 2171 ],
+ "attributes": {
+ "src": "top.v:708"
+ }
+ },
+ "serial_rx": {
+ "hide_name": 0,
+ "bits": [ 7 ],
+ "attributes": {
+ "src": "top.v:10"
+ }
+ },
+ "serial_tx": {
+ "hide_name": 0,
+ "bits": [ 8 ],
+ "attributes": {
+ "src": "top.v:11"
+ }
+ },
+ "shared_adr": {
+ "hide_name": 0,
+ "bits": [ 1846, 1848, 1850, 1852, 1854, 1856, 1858, 1860, 1862, 1864, 1866, 1868, 1870, 1872, 936, 939, 942, 945, 948, 951, 954, 957, "x", "x", "x", "x", 268, 270, 269 ],
+ "attributes": {
+ }
+ },
+ "shared_dat_w": {
+ "hide_name": 0,
+ "bits": [ 1631, 1635, 1639, 1643, 1647, 1651, 1655, 1659, 1663, 1667, 1671, 1675, 1679, 1683, 1687, 1691, 1694, 1697, 1700, 1703, 1706, 1709, 1712, 1715, 1801, 1808, 1814, 1820, 1826, 1832, 1838, 1843 ],
+ "attributes": {
+ "src": "top.v:601"
+ }
+ },
+ "slave_sel": {
+ "hide_name": 0,
+ "bits": [ 265, 369 ],
+ "attributes": {
+ "init": 0,
+ "src": "top.v:613"
+ }
+ },
+ "slave_sel_r": {
+ "hide_name": 0,
+ "bits": [ 1601, 1599 ],
+ "attributes": {
+ "src": "top.v:614"
+ }
+ },
+ "slice_proxy1": {
+ "hide_name": 0,
+ "bits": [ 786, 787, "0", 1485, 3002, 3003, "1", 3004 ],
+ "attributes": {
+ "src": "top.v:895"
+ }
+ },
+ "spiflash_clk": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "top.v:5"
+ }
+ },
+ "spiflash_cs_n": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "top.v:4"
+ }
+ },
+ "spiflash_hold": {
+ "hide_name": 0,
+ "bits": [ "1" ],
+ "attributes": {
+ "src": "top.v:9"
+ }
+ },
+ "spiflash_miso": {
+ "hide_name": 0,
+ "bits": [ 6 ],
+ "attributes": {
+ "src": "top.v:7"
+ }
+ },
+ "spiflash_mosi": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "top.v:6"
+ }
+ },
+ "spiflash_wp": {
+ "hide_name": 0,
+ "bits": [ "1" ],
+ "attributes": {
+ "src": "top.v:8"
+ }
+ },
+ "sram_bus_adr": {
+ "hide_name": 0,
+ "bits": [ 208, 209, 211, 210, 276, 1160, "x", "x", "x", 205, 207, 202, 203, 206 ],
+ "attributes": {
+ "src": "top.v:701"
+ }
+ },
+ "sram_bus_dat_w": {
+ "hide_name": 0,
+ "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
+ "attributes": {
+ "src": "top.v:703"
+ }
+ },
+ "sram_bus_we": {
+ "hide_name": 0,
+ "bits": [ 198 ],
+ "attributes": {
+ "src": "top.v:702"
+ }
+ },
+ "storage_2[0]": {
+ "hide_name": 0,
+ "bits": [ 1325, 1346, "x", "x" ],
+ "attributes": {
+ }
+ },
+ "storage_2[1]": {
+ "hide_name": 0,
+ "bits": [ 1326, 1347, "x", "x" ],
+ "attributes": {
+ }
+ },
+ "storage_2[2]": {
+ "hide_name": 0,
+ "bits": [ 1331, 1348, "x", "x" ],
+ "attributes": {
+ }
+ },
+ "storage_2[3]": {
+ "hide_name": 0,
+ "bits": [ 1330, 1349, "x", "x" ],
+ "attributes": {
+ }
+ },
+ "storage_cdc_consume_wdomain": {
+ "hide_name": 0,
+ "bits": [ 723, 718, 724 ],
+ "attributes": {
+ "src": "top.v:562"
+ }
+ },
+ "storage_cdc_graycounter0_q": {
+ "hide_name": 0,
+ "bits": [ 722, 717, 721 ],
+ "attributes": {
+ "src": "top.v:552"
+ }
+ },
+ "storage_cdc_graycounter0_q_binary": {
+ "hide_name": 0,
+ "bits": [ 2126, 2130, 721 ],
+ "attributes": {
+ "src": "top.v:554"
+ }
+ },
+ "storage_cdc_graycounter0_q_next": {
+ "hide_name": 0,
+ "bits": [ 2242, 2243, 2133 ],
+ "attributes": {
+ "src": "top.v:553"
+ }
+ },
+ "storage_cdc_graycounter0_q_next_binary": {
+ "hide_name": 0,
+ "bits": [ 2129, 2131, 2133 ],
+ "attributes": {
+ "init": 0,
+ "src": "top.v:555"
+ }
+ },
+ "storage_cdc_graycounter1_q": {
+ "hide_name": 0,
+ "bits": [ 1318, 1324, 1321 ],
+ "attributes": {
+ "src": "top.v:557"
+ }
+ },
+ "storage_cdc_graycounter1_q_binary": {
+ "hide_name": 0,
+ "bits": [ 2118, 2122, 1321 ],
+ "attributes": {
+ "src": "top.v:559"
+ }
+ },
+ "storage_cdc_graycounter1_q_next": {
+ "hide_name": 0,
+ "bits": [ 2248, 2249, 2125 ],
+ "attributes": {
+ "src": "top.v:558"
+ }
+ },
+ "storage_cdc_graycounter1_q_next_binary": {
+ "hide_name": 0,
+ "bits": [ 2121, 2123, 2125 ],
+ "attributes": {
+ "init": 0,
+ "src": "top.v:560"
+ }
+ },
+ "storage_cdc_produce_rdomain": {
+ "hide_name": 0,
+ "bits": [ 1319, 1323, 1322 ],
+ "attributes": {
+ "src": "top.v:561"
+ }
+ },
+ "storage_cdc_rdport_adr": {
+ "hide_name": 0,
+ "bits": [ 2121, 2123 ],
+ "attributes": {
+ "src": "top.v:567"
+ }
+ },
+ "storage_cdc_wrport_adr": {
+ "hide_name": 0,
+ "bits": [ 2126, 2130 ],
+ "attributes": {
+ "src": "top.v:563"
+ }
+ },
+ "storage_count": {
+ "hide_name": 0,
+ "bits": [ 738, 745, 746, 747, 748, 742, 743, 744, 2260 ],
+ "attributes": {
+ "src": "top.v:577"
+ }
+ },
+ "storage_done0": {
+ "hide_name": 0,
+ "bits": [ 719 ],
+ "attributes": {
+ "init": 0,
+ "src": "top.v:497"
+ }
+ },
+ "storage_done_status": {
+ "hide_name": 0,
+ "bits": [ 2344 ],
+ "attributes": {
+ "src": "top.v:481"
+ }
+ },
+ "storage_enable": {
+ "hide_name": 0,
+ "bits": [ 751 ],
+ "attributes": {
+ "src": "top.v:493"
+ }
+ },
+ "storage_enable_d": {
+ "hide_name": 0,
+ "bits": [ 752 ],
+ "attributes": {
+ "src": "top.v:494"
+ }
+ },
+ "storage_enable_storage": {
+ "hide_name": 0,
+ "bits": [ 1290 ],
+ "attributes": {
+ "src": "top.v:479"
+ }
+ },
+ "storage_enable_storage_full": {
+ "hide_name": 0,
+ "bits": [ 1290 ],
+ "attributes": {
+ "src": "top.v:478"
+ }
+ },
+ "storage_length_storage": {
+ "hide_name": 0,
+ "bits": [ 2292, 803, 1355, 1362, 800, 807, 808, 1385, 2301 ],
+ "attributes": {
+ "src": "top.v:483"
+ }
+ },
+ "storage_length_storage_full": {
+ "hide_name": 0,
+ "bits": [ 2292, 803, 1355, 1362, 800, 807, 808, 1385, 2301 ],
+ "attributes": {
+ "src": "top.v:482"
+ }
+ },
+ "storage_mem_consume": {
+ "hide_name": 0,
+ "bits": [ 734, 2277, 2389, 2392, 2395, 2398, 2401, 2404 ],
+ "attributes": {
+ "src": "top.v:519"
+ }
+ },
+ "storage_mem_do_read": {
+ "hide_name": 0,
+ "bits": [ 709 ],
+ "attributes": {
+ "src": "top.v:524"
+ }
+ },
+ "storage_mem_fifo_in_payload_data": {
+ "hide_name": 0,
+ "bits": [ 2116, 2117 ],
+ "attributes": {
+ "src": "top.v:529"
+ }
+ },
+ "storage_mem_fifo_out_first": {
+ "hide_name": 0,
+ "bits": [ 2897 ],
+ "attributes": {
+ "src": "top.v:533",
+ "unused_bits": "0"
+ }
+ },
+ "storage_mem_fifo_out_last": {
+ "hide_name": 0,
+ "bits": [ 2901 ],
+ "attributes": {
+ "src": "top.v:534",
+ "unused_bits": "0"
+ }
+ },
+ "storage_mem_fifo_out_payload_data": {
+ "hide_name": 0,
+ "bits": [ 2794, 2795 ],
+ "attributes": {
+ "src": "top.v:532"
+ }
+ },
+ "storage_mem_level0": {
+ "hide_name": 0,
+ "bits": [ 659, 660, 661, 662, 655, 656, 657, 658, 652 ],
+ "attributes": {
+ "src": "top.v:516"
+ }
+ },
+ "storage_mem_level1": {
+ "hide_name": 0,
+ "bits": [ 2313, 804, 2336, 2337, 801, 714, 809, 711, 2315 ],
+ "attributes": {
+ "src": "top.v:528"
+ }
+ },
+ "storage_mem_produce": {
+ "hide_name": 0,
+ "bits": [ 731, 2275, 2370, 2373, 2376, 2379, 2382, 2385 ],
+ "attributes": {
+ "src": "top.v:518"
+ }
+ },
+ "storage_mem_rdport_adr": {
+ "hide_name": 0,
+ "bits": [ 734, 2277, 2389, 2392, 2395, 2398, 2401, 2404 ],
+ "attributes": {
+ "src": "top.v:525"
+ }
+ },
+ "storage_mem_rdport_dat_r": {
+ "hide_name": 0,
+ "bits": [ 2794, 2795, 2897, 2901 ],
+ "attributes": {
+ "src": "top.v:526"
+ }
+ },
+ "storage_mem_rdport_re": {
+ "hide_name": 0,
+ "bits": [ 709 ],
+ "attributes": {
+ "src": "top.v:527"
+ }
+ },
+ "storage_mem_readable": {
+ "hide_name": 0,
+ "bits": [ 708 ],
+ "attributes": {
+ "src": "top.v:509"
+ }
+ },
+ "storage_mem_ready_r": {
+ "hide_name": 0,
+ "bits": [ 349 ],
+ "attributes": {
+ "src": "top.v:490"
+ }
+ },
+ "storage_mem_sink_payload_data": {
+ "hide_name": 0,
+ "bits": [ 2116, 2117 ],
+ "attributes": {
+ "init": 0,
+ "src": "top.v:502"
+ }
+ },
+ "storage_mem_source_first": {
+ "hide_name": 0,
+ "bits": [ 2897 ],
+ "attributes": {
+ "src": "top.v:505",
+ "unused_bits": "0"
+ }
+ },
+ "storage_mem_source_last": {
+ "hide_name": 0,
+ "bits": [ 2901 ],
+ "attributes": {
+ "src": "top.v:506",
+ "unused_bits": "0"
+ }
+ },
+ "storage_mem_source_payload_data": {
+ "hide_name": 0,
+ "bits": [ 2794, 2795 ],
+ "attributes": {
+ "src": "top.v:507"
+ }
+ },
+ "storage_mem_source_valid": {
+ "hide_name": 0,
+ "bits": [ 708 ],
+ "attributes": {
+ "src": "top.v:503"
+ }
+ },
+ "storage_mem_syncfifo_din": {
+ "hide_name": 0,
+ "bits": [ 2116, 2117, "0", "0" ],
+ "attributes": {
+ "src": "top.v:514"
+ }
+ },
+ "storage_mem_syncfifo_dout": {
+ "hide_name": 0,
+ "bits": [ 2794, 2795, 2897, 2901 ],
+ "attributes": {
+ "src": "top.v:515"
+ }
+ },
+ "storage_mem_syncfifo_re": {
+ "hide_name": 0,
+ "bits": [ 709 ],
+ "attributes": {
+ "src": "top.v:512"
+ }
+ },
+ "storage_mem_wrport_adr": {
+ "hide_name": 0,
+ "bits": [ 731, 2275, 2370, 2373, 2376, 2379, 2382, 2385 ],
+ "attributes": {
+ "src": "top.v:520"
+ }
+ },
+ "storage_mem_wrport_dat_w": {
+ "hide_name": 0,
+ "bits": [ 2116, 2117, "0", "0" ],
+ "attributes": {
+ "src": "top.v:523"
+ }
+ },
+ "storage_mem_wrport_we": {
+ "hide_name": 0,
+ "bits": [ 727 ],
+ "attributes": {
+ "src": "top.v:522"
+ }
+ },
+ "storage_offset_storage": {
+ "hide_name": 0,
+ "bits": [ 1313, 1339, 1353, 1360, 1367, 713, 1378, 710, 1312 ],
+ "attributes": {
+ "src": "top.v:486"
+ }
+ },
+ "storage_offset_storage_full": {
+ "hide_name": 0,
+ "bits": [ 1313, 1339, 1353, 1360, 1367, 713, 1378, 710, 1312 ],
+ "attributes": {
+ "src": "top.v:485"
+ }
+ },
+ "storage_sink_sink_payload_hit": {
+ "hide_name": 0,
+ "bits": [ 175 ],
+ "attributes": {
+ "src": "top.v:477"
+ }
+ },
+ "subsampler_counter": {
+ "hide_name": 0,
+ "bits": [ 703, 691, 695, 669, 673, 693, 671, 679, 697, 699, 705, 683, 675, 681, 685, 701 ],
+ "attributes": {
+ "src": "top.v:470"
+ }
+ },
+ "subsampler_sink_payload_hit": {
+ "hide_name": 0,
+ "bits": [ 175 ],
+ "attributes": {
+ "src": "top.v:459"
+ }
+ },
+ "subsampler_source_payload_hit": {
+ "hide_name": 0,
+ "bits": [ 175 ],
+ "attributes": {
+ "src": "top.v:465"
+ }
+ },
+ "subsampler_value": {
+ "hide_name": 0,
+ "bits": [ 704, 692, 696, 670, 674, 694, 672, 680, 698, 700, 706, 684, 676, 682, 686, 702 ],
+ "attributes": {
+ "src": "top.v:469"
+ }
+ },
+ "subsampler_value_storage": {
+ "hide_name": 0,
+ "bits": [ 1291, 1343, 1354, 1361, 1368, 1373, 1379, 1384, 1314, 1342, 1356, 1363, 1369, 1374, 1380, 1386 ],
+ "attributes": {
+ "src": "top.v:467"
+ }
+ },
+ "subsampler_value_storage_full": {
+ "hide_name": 0,
+ "bits": [ 1291, 1343, 1354, 1361, 1368, 1373, 1379, 1384, 1314, 1342, 1356, 1363, 1369, 1374, 1380, 1386 ],
+ "attributes": {
+ "src": "top.v:466"
+ }
+ },
+ "sys_clk": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "top.v:73"
+ }
+ },
+ "sys_rst": {
+ "hide_name": 0,
+ "bits": [ 16 ],
+ "attributes": {
+ "src": "top.v:74"
+ }
+ },
+ "trigger_count": {
+ "hide_name": 0,
+ "bits": [ 164, 168, 169, 170, 2258 ],
+ "attributes": {
+ "src": "top.v:453"
+ }
+ },
+ "trigger_done0": {
+ "hide_name": 0,
+ "bits": [ 175 ],
+ "attributes": {
+ "src": "top.v:405"
+ }
+ },
+ "trigger_done_status": {
+ "hide_name": 0,
+ "bits": [ 1306 ],
+ "attributes": {
+ "src": "top.v:392"
+ }
+ },
+ "trigger_enable": {
+ "hide_name": 0,
+ "bits": [ 76 ],
+ "attributes": {
+ "src": "top.v:403"
+ }
+ },
+ "trigger_enable_d": {
+ "hide_name": 0,
+ "bits": [ 75 ],
+ "attributes": {
+ "src": "top.v:404"
+ }
+ },
+ "trigger_enable_storage": {
+ "hide_name": 0,
+ "bits": [ 1315 ],
+ "attributes": {
+ "src": "top.v:390"
+ }
+ },
+ "trigger_enable_storage_full": {
+ "hide_name": 0,
+ "bits": [ 1315 ],
+ "attributes": {
+ "src": "top.v:389"
+ }
+ },
+ "trigger_mem_asyncfifo_din": {
+ "hide_name": 0,
+ "bits": [ 2346, 1340, 2328, 1341 ],
+ "attributes": {
+ }
+ },
+ "trigger_mem_consume_wdomain": {
+ "hide_name": 0,
+ "bits": [ 1294, 1303, 1305, 1300, 1301 ],
+ "attributes": {
+ "src": "top.v:435"
+ }
+ },
+ "trigger_mem_fifo_in_payload_mask": {
+ "hide_name": 0,
+ "bits": [ 2346, 1340 ],
+ "attributes": {
+ "src": "top.v:442"
+ }
+ },
+ "trigger_mem_fifo_in_payload_value": {
+ "hide_name": 0,
+ "bits": [ 2328, 1341 ],
+ "attributes": {
+ "src": "top.v:443"
+ }
+ },
+ "trigger_mem_graycounter0_ce": {
+ "hide_name": 0,
+ "bits": [ 2140 ],
+ "attributes": {
+ "src": "top.v:424"
+ }
+ },
+ "trigger_mem_graycounter0_q": {
+ "hide_name": 0,
+ "bits": [ 1293, 1302, 1304, 1299, 1298 ],
+ "attributes": {
+ "src": "top.v:425"
+ }
+ },
+ "trigger_mem_graycounter0_q_binary": {
+ "hide_name": 0,
+ "bits": [ 188, 156, 191, 186, 1298 ],
+ "attributes": {
+ "src": "top.v:427"
+ }
+ },
+ "trigger_mem_graycounter0_q_next": {
+ "hide_name": 0,
+ "bits": [ 2244, 2245, 2246, 2247, 2150 ],
+ "attributes": {
+ "src": "top.v:426"
+ }
+ },
+ "trigger_mem_graycounter0_q_next_binary": {
+ "hide_name": 0,
+ "bits": [ 2143, 2144, 2146, 2148, 2150 ],
+ "attributes": {
+ "init": 0,
+ "src": "top.v:428"
+ }
+ },
+ "trigger_mem_graycounter1_q": {
+ "hide_name": 0,
+ "bits": [ 180, 179, 182, 171, 176 ],
+ "attributes": {
+ "src": "top.v:430"
+ }
+ },
+ "trigger_mem_graycounter1_q_binary": {
+ "hide_name": 0,
+ "bits": [ 154, 155, 190, 185, 176 ],
+ "attributes": {
+ "src": "top.v:432"
+ }
+ },
+ "trigger_mem_graycounter1_q_next": {
+ "hide_name": 0,
+ "bits": [ 2157, 2158, 2159, 2160, 2139 ],
+ "attributes": {
+ "src": "top.v:431"
+ }
+ },
+ "trigger_mem_graycounter1_q_next_binary": {
+ "hide_name": 0,
+ "bits": [ 2134, 2135, 2136, 2137, 2139 ],
+ "attributes": {
+ "init": 0,
+ "src": "top.v:433"
+ }
+ },
+ "trigger_mem_mask_storage": {
+ "hide_name": 0,
+ "bits": [ 2346, 1340 ],
+ "attributes": {
+ "src": "top.v:397"
+ }
+ },
+ "trigger_mem_mask_storage_full": {
+ "hide_name": 0,
+ "bits": [ 2346, 1340 ],
+ "attributes": {
+ "src": "top.v:396"
+ }
+ },
+ "trigger_mem_produce_rdomain": {
+ "hide_name": 0,
+ "bits": [ 181, 178, 183, 172, 177 ],
+ "attributes": {
+ "src": "top.v:434"
+ }
+ },
+ "trigger_mem_rdport_adr": {
+ "hide_name": 0,
+ "bits": [ 2134, 2135, 2136, 2137 ],
+ "attributes": {
+ "src": "top.v:440"
+ }
+ },
+ "trigger_mem_sink_payload_mask": {
+ "hide_name": 0,
+ "bits": [ 2346, 1340 ],
+ "attributes": {
+ "src": "top.v:410"
+ }
+ },
+ "trigger_mem_sink_payload_value": {
+ "hide_name": 0,
+ "bits": [ 2328, 1341 ],
+ "attributes": {
+ "src": "top.v:411"
+ }
+ },
+ "trigger_mem_value_storage": {
+ "hide_name": 0,
+ "bits": [ 2328, 1341 ],
+ "attributes": {
+ "src": "top.v:400"
+ }
+ },
+ "trigger_mem_value_storage_full": {
+ "hide_name": 0,
+ "bits": [ 2328, 1341 ],
+ "attributes": {
+ "src": "top.v:399"
+ }
+ },
+ "trigger_mem_write_r": {
+ "hide_name": 0,
+ "bits": [ 349 ],
+ "attributes": {
+ "src": "top.v:394"
+ }
+ },
+ "trigger_mem_wrport_adr": {
+ "hide_name": 0,
+ "bits": [ 188, 156, 191, 186 ],
+ "attributes": {
+ "src": "top.v:436"
+ }
+ },
+ "trigger_mem_wrport_dat_w": {
+ "hide_name": 0,
+ "bits": [ 2346, 1340, 2328, 1341 ],
+ "attributes": {
+ }
+ },
+ "trigger_mem_wrport_we": {
+ "hide_name": 0,
+ "bits": [ 2140 ],
+ "attributes": {
+ "src": "top.v:438"
+ }
+ },
+ "trigger_source_source_payload_hit": {
+ "hide_name": 0,
+ "bits": [ 175 ],
+ "attributes": {
+ "init": 0,
+ "src": "top.v:388"
+ }
+ },
+ "txbitstuffer_next_state": {
+ "hide_name": 0,
+ "bits": [ 828, 2324, 830 ],
+ "attributes": {
+ "init": 0,
+ "src": "top.v:591"
+ }
+ },
+ "txbitstuffer_state": {
+ "hide_name": 0,
+ "bits": [ 512, 515, 516 ],
+ "attributes": {
+ "src": "top.v:590"
+ }
+ },
+ "txnrziencoder_next_state": {
+ "hide_name": 0,
+ "bits": [ 818, 2322, 826 ],
+ "attributes": {
+ "init": 0,
+ "src": "top.v:593"
+ }
+ },
+ "txnrziencoder_state": {
+ "hide_name": 0,
+ "bits": [ 143, 146, 145 ],
+ "attributes": {
+ "src": "top.v:592"
+ }
+ },
+ "uartwishbonebridge_address": {
+ "hide_name": 0,
+ "bits": [ 2604, 2627, 2646, 2648, 2650, 2652, 2654, 2656, 2658, 2660, 2606, 2609, 2611, 2613, 2615, 2617, 2619, 2621, 2623, 2625, 2629, 2631, 2634, 2636, 2638, 2640, 2641, 2643, 2645, "x", "x", "x" ],
+ "attributes": {
+ "init": 0,
+ "src": "top.v:148"
+ }
+ },
+ "uartwishbonebridge_address_ce": {
+ "hide_name": 0,
+ "bits": [ 430 ],
+ "attributes": {
+ "init": 0,
+ "src": "top.v:149"
+ }
+ },
+ "uartwishbonebridge_byte_counter": {
+ "hide_name": 0,
+ "bits": [ 428, 429, 427 ],
+ "attributes": {
+ "src": "top.v:138"
+ }
+ },
+ "uartwishbonebridge_byte_counter_reset": {
+ "hide_name": 0,
+ "bits": [ 422 ],
+ "attributes": {
+ "init": 0,
+ "src": "top.v:139"
+ }
+ },
+ "uartwishbonebridge_cmd": {
+ "hide_name": 0,
+ "bits": [ 1407, 1406, 1409, 1410, 1411, 1412, 1413, 1414 ],
+ "attributes": {
+ "src": "top.v:144"
+ }
+ },
+ "uartwishbonebridge_cmd_ce": {
+ "hide_name": 0,
+ "bits": [ 421 ],
+ "attributes": {
+ "init": 0,
+ "src": "top.v:145"
+ }
+ },
+ "uartwishbonebridge_count": {
+ "hide_name": 0,
+ "bits": [ 484, 485, 486, 487, 480, 481, 482, 483, 476, 2252, 477, 2253, 478, 2254, 2255, 479, 472, 473, 474, 2256, 2257 ],
+ "attributes": {
+ "src": "top.v:156"
+ }
+ },
+ "uartwishbonebridge_data": {
+ "hide_name": 0,
+ "bits": [ 1631, 1635, 1639, 1643, 1647, 1651, 1655, 1659, 1663, 1667, 1671, 1675, 1679, 1683, 1687, 1691, 1694, 1697, 1700, 1703, 1706, 1709, 1712, 1715, 1801, 1808, 1814, 1820, 1826, 1832, 1838, 1843 ],
+ "attributes": {
+ "src": "top.v:150"
+ }
+ },
+ "uartwishbonebridge_length": {
+ "hide_name": 0,
+ "bits": [ 440, 439, 2692, 2694, 2696, 2698, 2700, 2702 ],
+ "attributes": {
+ "src": "top.v:146"
+ }
+ },
+ "uartwishbonebridge_length_ce": {
+ "hide_name": 0,
+ "bits": [ 789 ],
+ "attributes": {
+ "init": 0,
+ "src": "top.v:147"
+ }
+ },
+ "uartwishbonebridge_phase_accumulator_rx": {
+ "hide_name": 0,
+ "bits": [ "x", "x", 410, 1729, 2593, 2595, 2597, 2599, 2601, 2603, 2547, 2550, 2552, 2554, 2556, 2558, 2560, 2562, 2564, 2566, 2568, 2570, 2572, 2574, 2576, 2578, 2580, 2582, 2584, 2586, 2588, 2590 ],
+ "attributes": {
+ "init": 0,
+ "src": "top.v:121"
+ }
+ },
+ "uartwishbonebridge_phase_accumulator_tx": {
+ "hide_name": 0,
+ "bits": [ "x", "x", 381, 1874, 2534, 2536, 2538, 2540, 2542, 2544, 2488, 2491, 2493, 2495, 2497, 2499, 2501, 2503, 2505, 2507, 2509, 2511, 2513, 2515, 2517, 2519, 2521, 2523, 2525, 2527, 2529, 2531 ],
+ "attributes": {
+ "init": 0,
+ "src": "top.v:113"
+ }
+ },
+ "uartwishbonebridge_rx": {
+ "hide_name": 0,
+ "bits": [ 401 ],
+ "attributes": {
+ "src": "top.v:122"
+ }
+ },
+ "uartwishbonebridge_rx_bitcount": {
+ "hide_name": 0,
+ "bits": [ 406, 404, 405, 407 ],
+ "attributes": {
+ "src": "top.v:125"
+ }
+ },
+ "uartwishbonebridge_rx_busy": {
+ "hide_name": 0,
+ "bits": [ 402 ],
+ "attributes": {
+ "src": "top.v:126"
+ }
+ },
+ "uartwishbonebridge_rx_r": {
+ "hide_name": 0,
+ "bits": [ 416 ],
+ "attributes": {
+ "src": "top.v:123"
+ }
+ },
+ "uartwishbonebridge_rx_reg": {
+ "hide_name": 0,
+ "bits": [ 2798, 2799, 2800, 2801, 2802, 2803, 2804, 2805 ],
+ "attributes": {
+ "src": "top.v:124"
+ }
+ },
+ "uartwishbonebridge_sink_ready": {
+ "hide_name": 0,
+ "bits": [ 383 ],
+ "attributes": {
+ "src": "top.v:109"
+ }
+ },
+ "uartwishbonebridge_source_payload_data": {
+ "hide_name": 0,
+ "bits": [ 619, 618, 625, 626, 627, 622, 623, 624 ],
+ "attributes": {
+ "src": "top.v:119"
+ }
+ },
+ "uartwishbonebridge_source_valid": {
+ "hide_name": 0,
+ "bits": [ 426 ],
+ "attributes": {
+ "src": "top.v:117"
+ }
+ },
+ "uartwishbonebridge_state": {
+ "hide_name": 0,
+ "bits": [ 59, 60, 61 ],
+ "attributes": {
+ "src": "top.v:578"
+ }
+ },
+ "uartwishbonebridge_tx_bitcount": {
+ "hide_name": 0,
+ "bits": [ 394, 391, 392, 393 ],
+ "attributes": {
+ "src": "top.v:115"
+ }
+ },
+ "uartwishbonebridge_tx_busy": {
+ "hide_name": 0,
+ "bits": [ 382 ],
+ "attributes": {
+ "src": "top.v:116"
+ }
+ },
+ "uartwishbonebridge_tx_reg": {
+ "hide_name": 0,
+ "bits": [ 2043, 1794, 1803, 1809, 1815, 1821, 1827, 1833 ],
+ "attributes": {
+ "src": "top.v:114"
+ }
+ },
+ "uartwishbonebridge_uart_clk_rxen": {
+ "hide_name": 0,
+ "bits": [ 403 ],
+ "attributes": {
+ "src": "top.v:120"
+ }
+ },
+ "uartwishbonebridge_uart_clk_txen": {
+ "hide_name": 0,
+ "bits": [ 386 ],
+ "attributes": {
+ "src": "top.v:112"
+ }
+ },
+ "uartwishbonebridge_wishbone_adr": {
+ "hide_name": 0,
+ "bits": [ 1846, 1848, 1850, 1852, 1854, 1856, 1858, 1860, 1862, 1864, 1866, 1868, 1870, 1872, 936, 939, 942, 945, 948, 951, 954, 957, "x", "x", "x", "x" ],
+ "attributes": {
+ }
+ },
+ "uartwishbonebridge_wishbone_dat_w": {
+ "hide_name": 0,
+ "bits": [ 1631, 1635, 1639, 1643, 1647, 1651, 1655, 1659, 1663, 1667, 1671, 1675, 1679, 1683, 1687, 1691, 1694, 1697, 1700, 1703, 1706, 1709, 1712, 1715, 1801, 1808, 1814, 1820, 1826, 1832, 1838, 1843 ],
+ "attributes": {
+ "src": "top.v:128"
+ }
+ },
+ "uartwishbonebridge_word_counter": {
+ "hide_name": 0,
+ "bits": [ 441, 438, 443 ],
+ "attributes": {
+ "src": "top.v:141"
+ }
+ },
+ "uartwishbonebridge_word_counter_reset": {
+ "hide_name": 0,
+ "bits": [ 421 ],
+ "attributes": {
+ "init": 0,
+ "src": "top.v:142"
+ }
+ },
+ "usb_d_n": {
+ "hide_name": 0,
+ "bits": [ 10 ],
+ "attributes": {
+ "src": "top.v:13"
+ }
+ },
+ "usb_d_p": {
+ "hide_name": 0,
+ "bits": [ 9 ],
+ "attributes": {
+ "src": "top.v:12"
+ }
+ },
+ "usb_pullup": {
+ "hide_name": 0,
+ "bits": [ 11 ],
+ "attributes": {
+ "src": "top.v:14"
+ }
+ },
+ "usbdevice_current_token": {
+ "hide_name": 0,
+ "bits": [ 631, 638, 636, 637 ],
+ "attributes": {
+ "src": "top.v:345"
+ }
+ },
+ "usbdevice_tx_pid": {
+ "hide_name": 0,
+ "bits": [ 786, 787, "0", 1485 ],
+ "attributes": {
+ "src": "top.v:216"
+ }
+ },
+ "usbdevice_tx_pkt_start": {
+ "hide_name": 0,
+ "bits": [ 507 ],
+ "attributes": {
+ "src": "top.v:214"
+ }
+ },
+ "usbdevice_usb_n_rx_io": {
+ "hide_name": 0,
+ "bits": [ 2829 ],
+ "attributes": {
+ "src": "top.v:226"
+ }
+ },
+ "usbdevice_usb_n_tx": {
+ "hide_name": 0,
+ "bits": [ 2823 ],
+ "attributes": {
+ "src": "top.v:222"
+ }
+ },
+ "usbdevice_usb_p_rx_io": {
+ "hide_name": 0,
+ "bits": [ 2826 ],
+ "attributes": {
+ "src": "top.v:225"
+ }
+ },
+ "usbdevice_usb_p_tx": {
+ "hide_name": 0,
+ "bits": [ 2822 ],
+ "attributes": {
+ "src": "top.v:221"
+ }
+ },
+ "usbdevice_usb_tx_en": {
+ "hide_name": 0,
+ "bits": [ 2824 ],
+ "attributes": {
+ "src": "top.v:220"
+ }
+ },
+ "usbdevice_usbfsrx_bitstuff_error": {
+ "hide_name": 0,
+ "bits": [ 503 ],
+ "attributes": {
+ "src": "top.v:254"
+ }
+ },
+ "usbdevice_usbfsrx_bitstuff_o_bitstuff_error": {
+ "hide_name": 0,
+ "bits": [ 2808 ],
+ "attributes": {
+ "src": "top.v:250"
+ }
+ },
+ "usbdevice_usbfsrx_bitstuff_o_data": {
+ "hide_name": 0,
+ "bits": [ 842 ],
+ "attributes": {
+ "src": "top.v:248"
+ }
+ },
+ "usbdevice_usbfsrx_bitstuff_o_se0": {
+ "hide_name": 0,
+ "bits": [ 849 ],
+ "attributes": {
+ "src": "top.v:249"
+ }
+ },
+ "usbdevice_usbfsrx_bitstuff_o_valid": {
+ "hide_name": 0,
+ "bits": [ 841 ],
+ "attributes": {
+ "src": "top.v:247"
+ }
+ },
+ "usbdevice_usbfsrx_crc16_good": {
+ "hide_name": 0,
+ "bits": [ 2239 ],
+ "attributes": {
+ "src": "top.v:286"
+ }
+ },
+ "usbdevice_usbfsrx_data": {
+ "hide_name": 0,
+ "bits": [ 915 ],
+ "attributes": {
+ "src": "top.v:252"
+ }
+ },
+ "usbdevice_usbfsrx_data_crc16_crc": {
+ "hide_name": 0,
+ "bits": [ 779, 773, 778, 777, 774, 775, 776, 769, 770, 771, 772, 781, 782, 783, 784, 780 ],
+ "attributes": {
+ "src": "top.v:280"
+ }
+ },
+ "usbdevice_usbfsrx_data_crc16_crc_good": {
+ "hide_name": 0,
+ "bits": [ 768 ],
+ "attributes": {
+ "src": "top.v:281"
+ }
+ },
+ "usbdevice_usbfsrx_data_crc16_o_crc_good": {
+ "hide_name": 0,
+ "bits": [ 2812 ],
+ "attributes": {
+ "src": "top.v:283"
+ }
+ },
+ "usbdevice_usbfsrx_data_put": {
+ "hide_name": 0,
+ "bits": [ 498 ],
+ "attributes": {
+ "src": "top.v:275"
+ }
+ },
+ "usbdevice_usbfsrx_data_shifter_o_full": {
+ "hide_name": 0,
+ "bits": [ 498 ],
+ "attributes": {
+ "src": "top.v:277"
+ }
+ },
+ "usbdevice_usbfsrx_data_shifter_o_output": {
+ "hide_name": 0,
+ "bits": [ 1500, 1502, 1504, 1506, 1508, 1510, 1512, 1514 ],
+ "attributes": {
+ "src": "top.v:278"
+ }
+ },
+ "usbdevice_usbfsrx_data_shifter_shift_reg": {
+ "hide_name": 0,
+ "bits": [ 498, 1500, 1502, 1504, 1506, 1508, 1510, 1512, 1514 ],
+ "attributes": {
+ "src": "top.v:276"
+ }
+ },
+ "usbdevice_usbfsrx_dpair": {
+ "hide_name": 0,
+ "bits": [ 871, 873 ],
+ "attributes": {
+ "src": "top.v:229"
+ }
+ },
+ "usbdevice_usbfsrx_line_state_dj0": {
+ "hide_name": 0,
+ "bits": [ 137 ],
+ "attributes": {
+ "init": 0,
+ "src": "top.v:231"
+ }
+ },
+ "usbdevice_usbfsrx_line_state_dj1": {
+ "hide_name": 0,
+ "bits": [ 2154 ],
+ "attributes": {
+ "src": "top.v:237"
+ }
+ },
+ "usbdevice_usbfsrx_line_state_dk0": {
+ "hide_name": 0,
+ "bits": [ 136 ],
+ "attributes": {
+ "init": 0,
+ "src": "top.v:232"
+ }
+ },
+ "usbdevice_usbfsrx_line_state_dk1": {
+ "hide_name": 0,
+ "bits": [ 2152 ],
+ "attributes": {
+ "src": "top.v:238"
+ }
+ },
+ "usbdevice_usbfsrx_line_state_phase": {
+ "hide_name": 0,
+ "bits": [ 1396, 1395 ],
+ "attributes": {
+ "src": "top.v:235"
+ }
+ },
+ "usbdevice_usbfsrx_line_state_se00": {
+ "hide_name": 0,
+ "bits": [ 135 ],
+ "attributes": {
+ "init": 0,
+ "src": "top.v:233"
+ }
+ },
+ "usbdevice_usbfsrx_line_state_se01": {
+ "hide_name": 0,
+ "bits": [ 2806 ],
+ "attributes": {
+ "src": "top.v:239"
+ }
+ },
+ "usbdevice_usbfsrx_line_state_valid": {
+ "hide_name": 0,
+ "bits": [ 514 ],
+ "attributes": {
+ "src": "top.v:236"
+ }
+ },
+ "usbdevice_usbfsrx_nrzi_o_data0": {
+ "hide_name": 0,
+ "bits": [ 2156 ],
+ "attributes": {
+ "init": 0,
+ "src": "top.v:242"
+ }
+ },
+ "usbdevice_usbfsrx_nrzi_o_data1": {
+ "hide_name": 0,
+ "bits": [ 861 ],
+ "attributes": {
+ "src": "top.v:244"
+ }
+ },
+ "usbdevice_usbfsrx_nrzi_o_se0": {
+ "hide_name": 0,
+ "bits": [ 2807 ],
+ "attributes": {
+ "src": "top.v:245"
+ }
+ },
+ "usbdevice_usbfsrx_nrzi_o_valid0": {
+ "hide_name": 0,
+ "bits": [ 514 ],
+ "attributes": {
+ "src": "top.v:241"
+ }
+ },
+ "usbdevice_usbfsrx_nrzi_o_valid1": {
+ "hide_name": 0,
+ "bits": [ 588 ],
+ "attributes": {
+ "src": "top.v:243"
+ }
+ },
+ "usbdevice_usbfsrx_o_pkt_active": {
+ "hide_name": 0,
+ "bits": [ 2809 ],
+ "attributes": {
+ "src": "top.v:259"
+ }
+ },
+ "usbdevice_usbfsrx_o_pkt_data_put": {
+ "hide_name": 0,
+ "bits": [ 505 ],
+ "attributes": {
+ "src": "top.v:285"
+ }
+ },
+ "usbdevice_usbfsrx_o_pkt_end0": {
+ "hide_name": 0,
+ "bits": [ 2810 ],
+ "attributes": {
+ "src": "top.v:260"
+ }
+ },
+ "usbdevice_usbfsrx_o_pkt_end1": {
+ "hide_name": 0,
+ "bits": [ 192 ],
+ "attributes": {
+ "src": "top.v:292"
+ }
+ },
+ "usbdevice_usbfsrx_o_pkt_good": {
+ "hide_name": 0,
+ "bits": [ 2317 ],
+ "attributes": {
+ "src": "top.v:291"
+ }
+ },
+ "usbdevice_usbfsrx_o_pkt_pid": {
+ "hide_name": 0,
+ "bits": [ 2813, 2814, 2815, 2816 ],
+ "attributes": {
+ "src": "top.v:288"
+ }
+ },
+ "usbdevice_usbfsrx_o_pkt_start0": {
+ "hide_name": 0,
+ "bits": [ 494 ],
+ "attributes": {
+ "src": "top.v:258"
+ }
+ },
+ "usbdevice_usbfsrx_pid_shifter_o_full": {
+ "hide_name": 0,
+ "bits": [ 489 ],
+ "attributes": {
+ "src": "top.v:264"
+ }
+ },
+ "usbdevice_usbfsrx_pid_shifter_o_output": {
+ "hide_name": 0,
+ "bits": [ 501, 500, 1554, 1556, 1558, 1560, 1562, 1564 ],
+ "attributes": {
+ "src": "top.v:265"
+ }
+ },
+ "usbdevice_usbfsrx_pid_shifter_shift_reg": {
+ "hide_name": 0,
+ "bits": [ 489, 501, 500, 1554, 1556, 1558, 1560, 1562, 1564 ],
+ "attributes": {
+ "src": "top.v:263"
+ }
+ },
+ "usbdevice_usbfsrx_pkt_active0": {
+ "hide_name": 0,
+ "bits": [ 852 ],
+ "attributes": {
+ "init": 0,
+ "src": "top.v:256"
+ }
+ },
+ "usbdevice_usbfsrx_pkt_active1": {
+ "hide_name": 0,
+ "bits": [ 490 ],
+ "attributes": {
+ "src": "top.v:261"
+ }
+ },
+ "usbdevice_usbfsrx_pkt_bitstuff_good": {
+ "hide_name": 0,
+ "bits": [ 2333 ],
+ "attributes": {
+ "src": "top.v:284"
+ }
+ },
+ "usbdevice_usbfsrx_pkt_end0": {
+ "hide_name": 0,
+ "bits": [ 2151 ],
+ "attributes": {
+ "init": 0,
+ "src": "top.v:257"
+ }
+ },
+ "usbdevice_usbfsrx_pkt_end1": {
+ "hide_name": 0,
+ "bits": [ 2811 ],
+ "attributes": {
+ "src": "top.v:262"
+ }
+ },
+ "usbdevice_usbfsrx_pkt_start": {
+ "hide_name": 0,
+ "bits": [ 856 ],
+ "attributes": {
+ "init": 0,
+ "src": "top.v:255"
+ }
+ },
+ "usbdevice_usbfsrx_tok_crc5_crc": {
+ "hide_name": 0,
+ "bits": [ 757, 758, 763, 762, 761 ],
+ "attributes": {
+ "src": "top.v:271"
+ }
+ },
+ "usbdevice_usbfsrx_tok_crc5_crc_good": {
+ "hide_name": 0,
+ "bits": [ 760 ],
+ "attributes": {
+ "src": "top.v:272"
+ }
+ },
+ "usbdevice_usbfsrx_tok_crc5_o_crc_good": {
+ "hide_name": 0,
+ "bits": [ 2330 ],
+ "attributes": {
+ "src": "top.v:274"
+ }
+ },
+ "usbdevice_usbfsrx_tok_shifter_o_full": {
+ "hide_name": 0,
+ "bits": [ 495 ],
+ "attributes": {
+ "src": "top.v:268"
+ }
+ },
+ "usbdevice_usbfsrx_tok_shifter_o_output": {
+ "hide_name": 0,
+ "bits": [ 1520, 1522, 1524, 1526, 1528, 1530, 1532, 1534, 1536, 1538, 1540, 1542, 1544, 1546, 1548, 1550 ],
+ "attributes": {
+ "src": "top.v:269"
+ }
+ },
+ "usbdevice_usbfsrx_tok_shifter_shift_reg": {
+ "hide_name": 0,
+ "bits": [ 495, 1520, 1522, 1524, 1526, 1528, 1530, 1532, 1534, 1536, 1538, 1540, 1542, 1544, 1546, 1548, 1550 ],
+ "attributes": {
+ "src": "top.v:267"
+ }
+ },
+ "usbdevice_usbfsrx_usbn": {
+ "hide_name": 0,
+ "bits": [ 871 ],
+ "attributes": {
+ "src": "top.v:228"
+ }
+ },
+ "usbdevice_usbfsrx_usbp": {
+ "hide_name": 0,
+ "bits": [ 873 ],
+ "attributes": {
+ "src": "top.v:227"
+ }
+ },
+ "usbdevice_usbfsrx_valid": {
+ "hide_name": 0,
+ "bits": [ 491 ],
+ "attributes": {
+ "src": "top.v:251"
+ }
+ },
+ "usbdevice_usbfstx_bitstuffer_o_data": {
+ "hide_name": 0,
+ "bits": [ 821 ],
+ "attributes": {
+ "src": "top.v:331"
+ }
+ },
+ "usbdevice_usbfstx_bitstuffer_o_oe": {
+ "hide_name": 0,
+ "bits": [ 629 ],
+ "attributes": {
+ "src": "top.v:333"
+ }
+ },
+ "usbdevice_usbfstx_bitstuffer_o_se0": {
+ "hide_name": 0,
+ "bits": [ 819 ],
+ "attributes": {
+ "src": "top.v:332"
+ }
+ },
+ "usbdevice_usbfstx_crc": {
+ "hide_name": 0,
+ "bits": [ 912, 910, 908, 906, 904, 902, 900, 898, 896, 894, 892, 890, 888, 1456, 886, 885 ],
+ "attributes": {
+ "src": "top.v:317"
+ }
+ },
+ "usbdevice_usbfstx_crc16_shifter_not_empty": {
+ "hide_name": 0,
+ "bits": [ 837 ],
+ "attributes": {
+ "src": "top.v:323"
+ }
+ },
+ "usbdevice_usbfstx_crc16_shifter_o_data": {
+ "hide_name": 0,
+ "bits": [ 1392 ],
+ "attributes": {
+ "src": "top.v:321"
+ }
+ },
+ "usbdevice_usbfstx_crc16_shifter_shifter": {
+ "hide_name": 0,
+ "bits": [ 1392, 583, 576, 577, 578, 579, 572, 573, 574, 575, 584, 585, 586, 587, 580, 581, 582 ],
+ "attributes": {
+ "src": "top.v:320"
+ }
+ },
+ "usbdevice_usbfstx_data_shifter_not_empty": {
+ "hide_name": 0,
+ "bits": [ 564 ],
+ "attributes": {
+ "src": "top.v:316"
+ }
+ },
+ "usbdevice_usbfstx_data_shifter_o_data": {
+ "hide_name": 0,
+ "bits": [ 884 ],
+ "attributes": {
+ "src": "top.v:314"
+ }
+ },
+ "usbdevice_usbfstx_data_shifter_shifter": {
+ "hide_name": 0,
+ "bits": [ 884, 552, 553, 554, 555, 556, 549, 550, 551 ],
+ "attributes": {
+ "src": "top.v:313"
+ }
+ },
+ "usbdevice_usbfstx_load_crc16": {
+ "hide_name": 0,
+ "bits": [ 558 ],
+ "attributes": {
+ "init": 0,
+ "src": "top.v:302"
+ }
+ },
+ "usbdevice_usbfstx_mux_stuff_bit_strobe": {
+ "hide_name": 0,
+ "bits": [ 588 ],
+ "attributes": {
+ "src": "top.v:328"
+ }
+ },
+ "usbdevice_usbfstx_mux_stuff_data": {
+ "hide_name": 0,
+ "bits": [ 827 ],
+ "attributes": {
+ "src": "top.v:326"
+ }
+ },
+ "usbdevice_usbfstx_mux_stuff_oe": {
+ "hide_name": 0,
+ "bits": [ 2817 ],
+ "attributes": {
+ "src": "top.v:325"
+ }
+ },
+ "usbdevice_usbfstx_mux_stuff_se0": {
+ "hide_name": 0,
+ "bits": [ 2818 ],
+ "attributes": {
+ "src": "top.v:327"
+ }
+ },
+ "usbdevice_usbfstx_nrzi_o_oe": {
+ "hide_name": 0,
+ "bits": [ 2821 ],
+ "attributes": {
+ "src": "top.v:339"
+ }
+ },
+ "usbdevice_usbfstx_nrzi_o_usbn": {
+ "hide_name": 0,
+ "bits": [ 2820 ],
+ "attributes": {
+ "src": "top.v:338"
+ }
+ },
+ "usbdevice_usbfstx_nrzi_o_usbp": {
+ "hide_name": 0,
+ "bits": [ 2819 ],
+ "attributes": {
+ "src": "top.v:337"
+ }
+ },
+ "usbdevice_usbfstx_nrzi_oe": {
+ "hide_name": 0,
+ "bits": [ 147 ],
+ "attributes": {
+ "init": 0,
+ "src": "top.v:336"
+ }
+ },
+ "usbdevice_usbfstx_nrzi_usbn": {
+ "hide_name": 0,
+ "bits": [ 144 ],
+ "attributes": {
+ "init": 0,
+ "src": "top.v:335"
+ }
+ },
+ "usbdevice_usbfstx_nrzi_usbp": {
+ "hide_name": 0,
+ "bits": [ 142 ],
+ "attributes": {
+ "init": 0,
+ "src": "top.v:334"
+ }
+ },
+ "usbdevice_usbfstx_o_oe": {
+ "hide_name": 0,
+ "bits": [ 2824 ],
+ "attributes": {
+ "src": "top.v:344"
+ }
+ },
+ "usbdevice_usbfstx_o_usbn": {
+ "hide_name": 0,
+ "bits": [ 2823 ],
+ "attributes": {
+ "src": "top.v:343"
+ }
+ },
+ "usbdevice_usbfstx_o_usbp": {
+ "hide_name": 0,
+ "bits": [ 2822 ],
+ "attributes": {
+ "src": "top.v:342"
+ }
+ },
+ "usbdevice_usbfstx_pid_is_data": {
+ "hide_name": 0,
+ "bits": [ 562 ],
+ "attributes": {
+ "src": "top.v:324"
+ }
+ },
+ "usbdevice_usbfstx_pid_shifter_not_empty": {
+ "hide_name": 0,
+ "bits": [ 561 ],
+ "attributes": {
+ "src": "top.v:312"
+ }
+ },
+ "usbdevice_usbfstx_pid_shifter_o_data": {
+ "hide_name": 0,
+ "bits": [ 1391 ],
+ "attributes": {
+ "src": "top.v:310"
+ }
+ },
+ "usbdevice_usbfstx_pid_shifter_shifter": {
+ "hide_name": 0,
+ "bits": [ 1391, 538, 539, 540, 541, 542, 535, 536, 537 ],
+ "attributes": {
+ "src": "top.v:309"
+ }
+ },
+ "usbdevice_usbfstx_pkt_active": {
+ "hide_name": 0,
+ "bits": [ 141 ],
+ "attributes": {
+ "init": 0,
+ "src": "top.v:296"
+ }
+ },
+ "usbdevice_usbfstx_sync_shifter_not_empty": {
+ "hide_name": 0,
+ "bits": [ 834 ],
+ "attributes": {
+ "src": "top.v:308"
+ }
+ },
+ "usbdevice_usbfstx_sync_shifter_o_data": {
+ "hide_name": 0,
+ "bits": [ 1387 ],
+ "attributes": {
+ "src": "top.v:306"
+ }
+ },
+ "usbdevice_usbfstx_sync_shifter_shifter": {
+ "hide_name": 0,
+ "bits": [ 1387, 524, 525, 526, 527, 528, 521, 522, 523 ],
+ "attributes": {
+ "src": "top.v:305"
+ }
+ },
+ "usbdevice_valid_ack_packet": {
+ "hide_name": 0,
+ "bits": [ 2317 ],
+ "attributes": {
+ "src": "top.v:352"
+ }
+ },
+ "usbdevice_valid_data_packet": {
+ "hide_name": 0,
+ "bits": [ 2317 ],
+ "attributes": {
+ "src": "top.v:350"
+ }
+ },
+ "usbdevice_valid_request_token": {
+ "hide_name": 0,
+ "bits": [ 590 ],
+ "attributes": {
+ "src": "top.v:348"
+ }
+ },
+ "usbdevice_valid_request_token_pre": {
+ "hide_name": 0,
+ "bits": [ 2317 ],
+ "attributes": {
+ "src": "top.v:347"
+ }
+ },
+ "usbtestsoc_crg_reset_delay": {
+ "hide_name": 0,
+ "bits": [ 2261, 2262, 2263, 2264, 2265, 2266, 2267, 2268, 2269, 2270, 2271, 2251 ],
+ "attributes": {
+ "src": "top.v:79"
+ }
+ },
+ "usbtestsoc_spiflash_bitbang_en_storage": {
+ "hide_name": 0,
+ "bits": [ 792 ],
+ "attributes": {
+ "src": "top.v:99"
+ }
+ },
+ "usbtestsoc_spiflash_bitbang_en_storage_full": {
+ "hide_name": 0,
+ "bits": [ 792 ],
+ "attributes": {
+ "src": "top.v:98"
+ }
+ },
+ "usbtestsoc_spiflash_bitbang_storage": {
+ "hide_name": 0,
+ "bits": [ 796, 790, 793, 1137 ],
+ "attributes": {
+ "src": "top.v:95"
+ }
+ },
+ "usbtestsoc_spiflash_bitbang_storage_full": {
+ "hide_name": 0,
+ "bits": [ 796, 790, 793, 1137 ],
+ "attributes": {
+ "src": "top.v:94"
+ }
+ },
+ "usbtestsoc_spiflash_bus_ack": {
+ "hide_name": 0,
+ "bits": [ 84 ],
+ "attributes": {
+ "src": "top.v:89"
+ }
+ },
+ "usbtestsoc_spiflash_bus_adr": {
+ "hide_name": 0,
+ "bits": [ 1846, 1848, 1850, 1852, 1854, 1856, 1858, 1860, 1862, 1864, 1866, 1868, 1870, 1872, 936, 939, 942, 945, 948, 951, 954, 957, "x", "x", "x", "x" ],
+ "attributes": {
+ }
+ },
+ "usbtestsoc_spiflash_bus_dat_r": {
+ "hide_name": 0,
+ "bits": [ 1600, 1605, 1609, 1613, 1617, 1621, 1625, 1630, 1634, 1638, 1642, 1646, 1650, 1654, 1658, 1662, 1666, 1670, 1674, 1678, 1682, 1686, 1690, 935, 938, 941, 944, 947, 950, 953, 956, 795 ],
+ "attributes": {
+ "src": "top.v:85"
+ }
+ },
+ "usbtestsoc_spiflash_bus_dat_w": {
+ "hide_name": 0,
+ "bits": [ 1631, 1635, 1639, 1643, 1647, 1651, 1655, 1659, 1663, 1667, 1671, 1675, 1679, 1683, 1687, 1691, 1694, 1697, 1700, 1703, 1706, 1709, 1712, 1715, 1801, 1808, 1814, 1820, 1826, 1832, 1838, 1843 ],
+ "attributes": {
+ "src": "top.v:84"
+ }
+ },
+ "usbtestsoc_spiflash_clk1": {
+ "hide_name": 0,
+ "bits": [ 791 ],
+ "attributes": {
+ "src": "top.v:102"
+ }
+ },
+ "usbtestsoc_spiflash_counter": {
+ "hide_name": 0,
+ "bits": [ 47, 49, 50, 51, 54, 52, 53, 55 ],
+ "attributes": {
+ "src": "top.v:106"
+ }
+ },
+ "usbtestsoc_spiflash_i": {
+ "hide_name": 0,
+ "bits": [ 370 ],
+ "attributes": {
+ "src": "top.v:104"
+ }
+ },
+ "usbtestsoc_spiflash_miso1": {
+ "hide_name": 0,
+ "bits": [ 2797 ],
+ "attributes": {
+ "src": "top.v:105"
+ }
+ },
+ "usbtestsoc_spiflash_sr": {
+ "hide_name": 0,
+ "bits": [ 1600, 1605, 1609, 1613, 1617, 1621, 1625, 1630, 1634, 1638, 1642, 1646, 1650, 1654, 1658, 1662, 1666, 1670, 1674, 1678, 1682, 1686, 1690, 935, 938, 941, 944, 947, 950, 953, 956, 795 ],
+ "attributes": {
+ "src": "top.v:103"
+ }
+ },
+ "usbtestsoc_usbtestsoc_adr": {
+ "hide_name": 0,
+ "bits": [ 208, 209, 211, 210, 276, 1160, "x", "x", "x", 205, 207, 202, 203, 206 ],
+ "attributes": {
+ "init": 0,
+ "src": "top.v:28"
+ }
+ },
+ "usbtestsoc_usbtestsoc_bus_errors": {
+ "hide_name": 0,
+ "bits": [ 219, 251, 241, 242, 235, 236, 237, 238, 233, 234, 227, 228, 229, 230, 239, 240, 260, 261, 254, 255, 256, 257, 231, 232, 252, 253, 247, 248, 249, 250, 258, 259 ],
+ "attributes": {
+ "src": "top.v:27"
+ }
+ },
+ "usbtestsoc_usbtestsoc_bus_errors_status": {
+ "hide_name": 0,
+ "bits": [ 219, 251, 241, 242, 235, 236, 237, 238, 233, 234, 227, 228, 229, 230, 239, 240, 260, 261, 254, 255, 256, 257, 231, 232, 252, 253, 247, 248, 249, 250, 258, 259 ],
+ "attributes": {
+ "src": "top.v:24"
+ }
+ },
+ "usbtestsoc_usbtestsoc_bus_wishbone_ack": {
+ "hide_name": 0,
+ "bits": [ 83 ],
+ "attributes": {
+ "src": "top.v:38"
+ }
+ },
+ "usbtestsoc_usbtestsoc_bus_wishbone_adr": {
+ "hide_name": 0,
+ "bits": [ 1846, 1848, 1850, 1852, 1854, 1856, 1858, 1860, 1862, 1864, 1866, 1868, 1870, 1872, 936, 939, 942, 945, 948, 951, 954, 957, "x", "x", "x", "x" ],
+ "attributes": {
+ }
+ },
+ "usbtestsoc_usbtestsoc_bus_wishbone_dat_r": {
+ "hide_name": 0,
+ "bits": [ 1602, 1606, 1610, 1614, 1618, 1622, 1626, 1629, "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ],
+ "attributes": {
+ "src": "top.v:34"
+ }
+ },
+ "usbtestsoc_usbtestsoc_bus_wishbone_dat_w": {
+ "hide_name": 0,
+ "bits": [ 1631, 1635, 1639, 1643, 1647, 1651, 1655, 1659, 1663, 1667, 1671, 1675, 1679, 1683, 1687, 1691, 1694, 1697, 1700, 1703, 1706, 1709, 1712, 1715, 1801, 1808, 1814, 1820, 1826, 1832, 1838, 1843 ],
+ "attributes": {
+ "src": "top.v:33"
+ }
+ },
+ "usbtestsoc_usbtestsoc_counter": {
+ "hide_name": 0,
+ "bits": [ 266, 263 ],
+ "attributes": {
+ "src": "top.v:43"
+ }
+ },
+ "usbtestsoc_usbtestsoc_dat_r": {
+ "hide_name": 0,
+ "bits": [ 2165, 2176, 2186, 2196, 2208, 2216, 2224, 2232 ],
+ "attributes": {
+ "src": "top.v:31"
+ }
+ },
+ "usbtestsoc_usbtestsoc_dat_w": {
+ "hide_name": 0,
+ "bits": [ 349, 40, 36, 17, 42, 38, 34, 2796 ],
+ "attributes": {
+ "src": "top.v:30"
+ }
+ },
+ "usbtestsoc_usbtestsoc_en_storage": {
+ "hide_name": 0,
+ "bits": [ 355 ],
+ "attributes": {
+ "src": "top.v:51"
+ }
+ },
+ "usbtestsoc_usbtestsoc_en_storage_full": {
+ "hide_name": 0,
+ "bits": [ 355 ],
+ "attributes": {
+ "src": "top.v:50"
+ }
+ },
+ "usbtestsoc_usbtestsoc_eventmanager_pending_r": {
+ "hide_name": 0,
+ "bits": [ 349 ],
+ "attributes": {
+ "src": "top.v:67"
+ }
+ },
+ "usbtestsoc_usbtestsoc_eventmanager_pending_w": {
+ "hide_name": 0,
+ "bits": [ 973 ],
+ "attributes": {
+ "src": "top.v:68"
+ }
+ },
+ "usbtestsoc_usbtestsoc_eventmanager_status_r": {
+ "hide_name": 0,
+ "bits": [ 349 ],
+ "attributes": {
+ "src": "top.v:64"
+ }
+ },
+ "usbtestsoc_usbtestsoc_eventmanager_status_w": {
+ "hide_name": 0,
+ "bits": [ 302 ],
+ "attributes": {
+ "src": "top.v:65"
+ }
+ },
+ "usbtestsoc_usbtestsoc_eventmanager_storage": {
+ "hide_name": 0,
+ "bits": [ 967 ],
+ "attributes": {
+ "src": "top.v:70"
+ }
+ },
+ "usbtestsoc_usbtestsoc_eventmanager_storage_full": {
+ "hide_name": 0,
+ "bits": [ 967 ],
+ "attributes": {
+ "src": "top.v:69"
+ }
+ },
+ "usbtestsoc_usbtestsoc_load_storage": {
+ "hide_name": 0,
+ "bits": [ 985, 1004, 1027, 1036, 1053, 1089, 1110, 1125, 984, 1006, 1023, 1046, 1064, 1081, 1104, 1127, 966, 1005, 1017, 1048, 1066, 1073, 1108, 1124, 980, 993, 1019, 1042, 1065, 1088, 1107, 1120 ],
+ "attributes": {
+ "src": "top.v:45"
+ }
+ },
+ "usbtestsoc_usbtestsoc_load_storage_full": {
+ "hide_name": 0,
+ "bits": [ 985, 1004, 1027, 1036, 1053, 1089, 1110, 1125, 984, 1006, 1023, 1046, 1064, 1081, 1104, 1127, 966, 1005, 1017, 1048, 1066, 1073, 1108, 1124, 980, 993, 1019, 1042, 1065, 1088, 1107, 1120 ],
+ "attributes": {
+ "src": "top.v:44"
+ }
+ },
+ "usbtestsoc_usbtestsoc_reload_storage": {
+ "hide_name": 0,
+ "bits": [ 974, 990, 1018, 1041, 1068, 1085, 1106, 1131, 981, 1001, 1020, 1038, 1063, 1080, 1103, 1128, 971, 1000, 1025, 1032, 1060, 1087, 1098, 1115, 979, 1003, 1026, 1035, 1062, 1079, 1105, 1121 ],
+ "attributes": {
+ "src": "top.v:48"
+ }
+ },
+ "usbtestsoc_usbtestsoc_reload_storage_full": {
+ "hide_name": 0,
+ "bits": [ 974, 990, 1018, 1041, 1068, 1085, 1106, 1131, 981, 1001, 1020, 1038, 1063, 1080, 1103, 1128, 971, 1000, 1025, 1032, 1060, 1087, 1098, 1115, 979, 1003, 1026, 1035, 1062, 1079, 1105, 1121 ],
+ "attributes": {
+ "src": "top.v:47"
+ }
+ },
+ "usbtestsoc_usbtestsoc_reset_reset_r": {
+ "hide_name": 0,
+ "bits": [ 349 ],
+ "attributes": {
+ "src": "top.v:19"
+ }
+ },
+ "usbtestsoc_usbtestsoc_storage": {
+ "hide_name": 0,
+ "bits": [ 1218, 1229, 1230, 2989, 2990, 2991, 2992, 1280, 1217, 2993, 2994, 1243, 2995, 1263, 2996, 1286, 1210, 1228, 2997, 1248, 2998, 2999, 1279, 1289, 1219, 3000, 1239, 1249, 3001, 1268, 1278, 1288 ],
+ "attributes": {
+ "src": "top.v:22"
+ }
+ },
+ "usbtestsoc_usbtestsoc_storage_full": {
+ "hide_name": 0,
+ "bits": [ 1218, 1229, 1230, 2989, 2990, 2991, 2992, 1280, 1217, 2993, 2994, 1243, 2995, 1263, 2996, 1286, 1210, 1228, 2997, 1248, 2998, 2999, 1279, 1289, 1219, 3000, 1239, 1249, 3001, 1268, 1278, 1288 ],
+ "attributes": {
+ "src": "top.v:21"
+ }
+ },
+ "usbtestsoc_usbtestsoc_update_value_r": {
+ "hide_name": 0,
+ "bits": [ 349 ],
+ "attributes": {
+ "src": "top.v:54"
+ }
+ },
+ "usbtestsoc_usbtestsoc_value": {
+ "hide_name": 0,
+ "bits": [ 335, 336, 337, 338, 331, 332, 333, 334, 343, 344, 345, 346, 339, 340, 341, 342, 317, 318, 319, 320, 313, 314, 315, 316, 325, 326, 327, 328, 321, 322, 323, 324 ],
+ "attributes": {
+ "src": "top.v:72"
+ }
+ },
+ "usbtestsoc_usbtestsoc_value_status": {
+ "hide_name": 0,
+ "bits": [ 982, 999, 1014, 1043, 1059, 1086, 1109, 1126, 983, 998, 1024, 1037, 1061, 1078, 1097, 1117, 963, 997, 1011, 1039, 1067, 1084, 1095, 1119, 969, 996, 1016, 1047, 1056, 1076, 1093, 1130 ],
+ "attributes": {
+ "src": "top.v:56"
+ }
+ },
+ "usbtestsoc_usbtestsoc_we": {
+ "hide_name": 0,
+ "bits": [ 198 ],
+ "attributes": {
+ "src": "top.v:29"
+ }
+ },
+ "usbtestsoc_usbtestsoc_zero_old_trigger": {
+ "hide_name": 0,
+ "bits": [ 303 ],
+ "attributes": {
+ "src": "top.v:62"
+ }
+ },
+ "usbtestsoc_usbtestsoc_zero_pending": {
+ "hide_name": 0,
+ "bits": [ 973 ],
+ "attributes": {
+ "src": "top.v:59"
+ }
+ },
+ "usbtestsoc_usbtestsoc_zero_status": {
+ "hide_name": 0,
+ "bits": [ 302 ],
+ "attributes": {
+ "src": "top.v:58"
+ }
+ },
+ "usbtestsoc_usbtestsoc_zero_trigger": {
+ "hide_name": 0,
+ "bits": [ 302 ],
+ "attributes": {
+ "src": "top.v:60"
+ }
+ },
+ "user_led0": {
+ "hide_name": 0,
+ "bits": [ 12 ],
+ "attributes": {
+ "src": "top.v:15"
+ }
+ }
+ }
+ }
+ }
+}
diff --git a/ice40/regressions/issue0120/top.npnr b/ice40/regressions/issue0120/top.npnr
new file mode 100644
index 0000000..7da092a
--- /dev/null
+++ b/ice40/regressions/issue0120/top.npnr
@@ -0,0 +1 @@
+--lp8k --package cm81 --freq 16.0
diff --git a/ice40/regressions/issue0120/top.pcf b/ice40/regressions/issue0120/top.pcf
new file mode 100644
index 0000000..9a82db4
--- /dev/null
+++ b/ice40/regressions/issue0120/top.pcf
@@ -0,0 +1,13 @@
+set_io clk16 B2
+set_io spiflash_cs_n F7
+set_io spiflash_clk G7
+set_io spiflash_mosi G6
+set_io spiflash_miso H7
+set_io spiflash_wp H4
+set_io spiflash_hold J8
+set_io serial_rx A2
+set_io serial_tx A1
+set_io usb_d_p B4
+set_io usb_d_n A4
+set_io usb_pullup A3
+set_io user_led0 B3
diff --git a/ice40/regressions/issue0120/top.sh b/ice40/regressions/issue0120/top.sh
new file mode 100644
index 0000000..43da7d4
--- /dev/null
+++ b/ice40/regressions/issue0120/top.sh
@@ -0,0 +1,3 @@
+:> top.log
+${NPNR} --json top.json --pcf top.pcf `cat top.npnr` > top.log 2>&1 || true
+grep "^ERROR: PACKAGE_PIN of SB_IO 'SB_IO' connected to more than a single top level IO.$" top.log
diff --git a/ice40/regressions/issue0120/top.v b/ice40/regressions/issue0120/top.v
new file mode 100644
index 0000000..813e887
--- /dev/null
+++ b/ice40/regressions/issue0120/top.v
@@ -0,0 +1,3971 @@
+/* Machine-generated using LiteX gen */
+module top(
+ input clk16,
+ output reg spiflash_cs_n,
+ output reg spiflash_clk,
+ output reg spiflash_mosi,
+ input spiflash_miso,
+ output spiflash_wp,
+ output spiflash_hold,
+ input serial_rx,
+ output reg serial_tx,
+ inout usb_d_p,
+ inout usb_d_n,
+ output usb_pullup,
+ output user_led0
+);
+
+wire usbtestsoc_usbtestsoc_reset_reset_re;
+wire usbtestsoc_usbtestsoc_reset_reset_r;
+reg usbtestsoc_usbtestsoc_reset_reset_w = 1'd0;
+reg [31:0] usbtestsoc_usbtestsoc_storage_full = 32'd305419896;
+wire [31:0] usbtestsoc_usbtestsoc_storage;
+reg usbtestsoc_usbtestsoc_re = 1'd0;
+wire [31:0] usbtestsoc_usbtestsoc_bus_errors_status;
+wire usbtestsoc_usbtestsoc_reset;
+wire usbtestsoc_usbtestsoc_bus_error;
+reg [31:0] usbtestsoc_usbtestsoc_bus_errors = 32'd0;
+reg [13:0] usbtestsoc_usbtestsoc_adr = 14'd0;
+reg usbtestsoc_usbtestsoc_we = 1'd0;
+reg [7:0] usbtestsoc_usbtestsoc_dat_w = 8'd0;
+wire [7:0] usbtestsoc_usbtestsoc_dat_r;
+wire [29:0] usbtestsoc_usbtestsoc_bus_wishbone_adr;
+wire [31:0] usbtestsoc_usbtestsoc_bus_wishbone_dat_w;
+reg [31:0] usbtestsoc_usbtestsoc_bus_wishbone_dat_r = 32'd0;
+wire [3:0] usbtestsoc_usbtestsoc_bus_wishbone_sel;
+wire usbtestsoc_usbtestsoc_bus_wishbone_cyc;
+wire usbtestsoc_usbtestsoc_bus_wishbone_stb;
+reg usbtestsoc_usbtestsoc_bus_wishbone_ack = 1'd0;
+wire usbtestsoc_usbtestsoc_bus_wishbone_we;
+wire [2:0] usbtestsoc_usbtestsoc_bus_wishbone_cti;
+wire [1:0] usbtestsoc_usbtestsoc_bus_wishbone_bte;
+reg usbtestsoc_usbtestsoc_bus_wishbone_err = 1'd0;
+reg [1:0] usbtestsoc_usbtestsoc_counter = 2'd0;
+reg [31:0] usbtestsoc_usbtestsoc_load_storage_full = 32'd0;
+wire [31:0] usbtestsoc_usbtestsoc_load_storage;
+reg usbtestsoc_usbtestsoc_load_re = 1'd0;
+reg [31:0] usbtestsoc_usbtestsoc_reload_storage_full = 32'd0;
+wire [31:0] usbtestsoc_usbtestsoc_reload_storage;
+reg usbtestsoc_usbtestsoc_reload_re = 1'd0;
+reg usbtestsoc_usbtestsoc_en_storage_full = 1'd0;
+wire usbtestsoc_usbtestsoc_en_storage;
+reg usbtestsoc_usbtestsoc_en_re = 1'd0;
+wire usbtestsoc_usbtestsoc_update_value_re;
+wire usbtestsoc_usbtestsoc_update_value_r;
+reg usbtestsoc_usbtestsoc_update_value_w = 1'd0;
+reg [31:0] usbtestsoc_usbtestsoc_value_status = 32'd0;
+wire usbtestsoc_usbtestsoc_irq;
+wire usbtestsoc_usbtestsoc_zero_status;
+reg usbtestsoc_usbtestsoc_zero_pending = 1'd0;
+wire usbtestsoc_usbtestsoc_zero_trigger;
+reg usbtestsoc_usbtestsoc_zero_clear = 1'd0;
+reg usbtestsoc_usbtestsoc_zero_old_trigger = 1'd0;
+wire usbtestsoc_usbtestsoc_eventmanager_status_re;
+wire usbtestsoc_usbtestsoc_eventmanager_status_r;
+wire usbtestsoc_usbtestsoc_eventmanager_status_w;
+wire usbtestsoc_usbtestsoc_eventmanager_pending_re;
+wire usbtestsoc_usbtestsoc_eventmanager_pending_r;
+wire usbtestsoc_usbtestsoc_eventmanager_pending_w;
+reg usbtestsoc_usbtestsoc_eventmanager_storage_full = 1'd0;
+wire usbtestsoc_usbtestsoc_eventmanager_storage;
+reg usbtestsoc_usbtestsoc_eventmanager_re = 1'd0;
+reg [31:0] usbtestsoc_usbtestsoc_value = 32'd0;
+wire sys_clk;
+wire sys_rst;
+wire usb_48_clk;
+reg usbtestsoc_crg_reset = 1'd0;
+wire por_clk;
+wire por_rst;
+reg [11:0] usbtestsoc_crg_reset_delay = 12'd4095;
+wire [159:0] usbtestsoc_status;
+wire [63:0] usbtestsoc_platform_status;
+wire [63:0] usbtestsoc_target_status;
+wire [29:0] usbtestsoc_spiflash_bus_adr;
+wire [31:0] usbtestsoc_spiflash_bus_dat_w;
+wire [31:0] usbtestsoc_spiflash_bus_dat_r;
+wire [3:0] usbtestsoc_spiflash_bus_sel;
+wire usbtestsoc_spiflash_bus_cyc;
+wire usbtestsoc_spiflash_bus_stb;
+reg usbtestsoc_spiflash_bus_ack = 1'd0;
+wire usbtestsoc_spiflash_bus_we;
+wire [2:0] usbtestsoc_spiflash_bus_cti;
+wire [1:0] usbtestsoc_spiflash_bus_bte;
+reg usbtestsoc_spiflash_bus_err = 1'd0;
+reg [3:0] usbtestsoc_spiflash_bitbang_storage_full = 4'd0;
+wire [3:0] usbtestsoc_spiflash_bitbang_storage;
+reg usbtestsoc_spiflash_bitbang_re = 1'd0;
+reg usbtestsoc_spiflash_miso_status = 1'd0;
+reg usbtestsoc_spiflash_bitbang_en_storage_full = 1'd0;
+wire usbtestsoc_spiflash_bitbang_en_storage;
+reg usbtestsoc_spiflash_bitbang_en_re = 1'd0;
+reg usbtestsoc_spiflash_cs_n1 = 1'd1;
+reg usbtestsoc_spiflash_clk1 = 1'd0;
+reg [31:0] usbtestsoc_spiflash_sr = 32'd0;
+reg usbtestsoc_spiflash_i = 1'd0;
+reg usbtestsoc_spiflash_miso1 = 1'd0;
+reg [7:0] usbtestsoc_spiflash_counter = 8'd0;
+reg [31:0] uartwishbonebridge_storage = 32'd30923764;
+reg uartwishbonebridge_sink_valid = 1'd0;
+reg uartwishbonebridge_sink_ready = 1'd0;
+wire uartwishbonebridge_sink_last;
+reg [7:0] uartwishbonebridge_sink_payload_data = 8'd0;
+reg uartwishbonebridge_uart_clk_txen = 1'd0;
+reg [31:0] uartwishbonebridge_phase_accumulator_tx = 32'd0;
+reg [7:0] uartwishbonebridge_tx_reg = 8'd0;
+reg [3:0] uartwishbonebridge_tx_bitcount = 4'd0;
+reg uartwishbonebridge_tx_busy = 1'd0;
+reg uartwishbonebridge_source_valid = 1'd0;
+wire uartwishbonebridge_source_ready;
+reg [7:0] uartwishbonebridge_source_payload_data = 8'd0;
+reg uartwishbonebridge_uart_clk_rxen = 1'd0;
+reg [31:0] uartwishbonebridge_phase_accumulator_rx = 32'd0;
+wire uartwishbonebridge_rx;
+reg uartwishbonebridge_rx_r = 1'd0;
+reg [7:0] uartwishbonebridge_rx_reg = 8'd0;
+reg [3:0] uartwishbonebridge_rx_bitcount = 4'd0;
+reg uartwishbonebridge_rx_busy = 1'd0;
+wire [29:0] uartwishbonebridge_wishbone_adr;
+wire [31:0] uartwishbonebridge_wishbone_dat_w;
+wire [31:0] uartwishbonebridge_wishbone_dat_r;
+wire [3:0] uartwishbonebridge_wishbone_sel;
+reg uartwishbonebridge_wishbone_cyc = 1'd0;
+reg uartwishbonebridge_wishbone_stb = 1'd0;
+wire uartwishbonebridge_wishbone_ack;
+reg uartwishbonebridge_wishbone_we = 1'd0;
+reg [2:0] uartwishbonebridge_wishbone_cti = 3'd0;
+reg [1:0] uartwishbonebridge_wishbone_bte = 2'd0;
+wire uartwishbonebridge_wishbone_err;
+reg [2:0] uartwishbonebridge_byte_counter = 3'd0;
+reg uartwishbonebridge_byte_counter_reset = 1'd0;
+reg uartwishbonebridge_byte_counter_ce = 1'd0;
+reg [2:0] uartwishbonebridge_word_counter = 3'd0;
+reg uartwishbonebridge_word_counter_reset = 1'd0;
+reg uartwishbonebridge_word_counter_ce = 1'd0;
+reg [7:0] uartwishbonebridge_cmd = 8'd0;
+reg uartwishbonebridge_cmd_ce = 1'd0;
+reg [7:0] uartwishbonebridge_length = 8'd0;
+reg uartwishbonebridge_length_ce = 1'd0;
+reg [31:0] uartwishbonebridge_address = 32'd0;
+reg uartwishbonebridge_address_ce = 1'd0;
+reg [31:0] uartwishbonebridge_data = 32'd0;
+reg uartwishbonebridge_rx_data_ce = 1'd0;
+reg uartwishbonebridge_tx_data_ce = 1'd0;
+wire uartwishbonebridge_reset;
+wire uartwishbonebridge_wait;
+wire uartwishbonebridge_done;
+reg [20:0] uartwishbonebridge_count = 21'd1600000;
+reg uartwishbonebridge_is_ongoing = 1'd0;
+reg usbdevice0 = 1'd0;
+reg usbdevice1 = 1'd0;
+reg usbdevice2 = 1'd0;
+reg usbdevice3 = 1'd0;
+reg usbdevice4 = 1'd0;
+reg usbdevice5 = 1'd0;
+reg usbdevice6 = 1'd0;
+reg usbdevice7 = 1'd0;
+reg usbdevice8 = 1'd0;
+reg usbdevice9 = 1'd0;
+reg usbdevice10 = 1'd0;
+reg usbdevice11 = 1'd0;
+reg usbdevice12 = 1'd0;
+reg usbdevice13 = 1'd0;
+reg usbdevice14 = 1'd0;
+reg usbdevice15 = 1'd0;
+reg usbdevice_o_out_commit = 1'd0;
+reg usbdevice_o_out_rollback = 1'd0;
+reg usbdevice16 = 1'd0;
+reg usbdevice17 = 1'd0;
+reg usbdevice18 = 1'd0;
+reg usbdevice19 = 1'd0;
+reg usbdevice20 = 1'd0;
+reg usbdevice21 = 1'd0;
+reg usbdevice22 = 1'd0;
+reg usbdevice23 = 1'd0;
+reg usbdevice24 = 1'd0;
+reg usbdevice25 = 1'd0;
+reg usbdevice26 = 1'd0;
+reg usbdevice27 = 1'd0;
+reg usbdevice28 = 1'd0;
+reg usbdevice29 = 1'd0;
+reg usbdevice30 = 1'd0;
+reg usbdevice31 = 1'd0;
+reg usbdevice32 = 1'd0;
+reg usbdevice33 = 1'd0;
+reg usbdevice34 = 1'd0;
+reg usbdevice35 = 1'd0;
+reg usbdevice36 = 1'd0;
+reg usbdevice37 = 1'd0;
+reg usbdevice38 = 1'd0;
+reg usbdevice39 = 1'd0;
+reg usbdevice40 = 1'd0;
+reg usbdevice41 = 1'd0;
+reg usbdevice42 = 1'd0;
+reg usbdevice43 = 1'd0;
+reg usbdevice44 = 1'd0;
+reg usbdevice45 = 1'd0;
+reg usbdevice46 = 1'd0;
+reg usbdevice47 = 1'd0;
+reg usbdevice_o_in_commit = 1'd0;
+reg usbdevice_o_in_rollback = 1'd0;
+reg usbdevice_in_tx_pkt_start = 1'd0;
+reg [3:0] usbdevice_in_tx_pid = 4'd0;
+reg usbdevice_out_tx_pkt_start = 1'd0;
+reg [3:0] usbdevice_out_tx_pid = 4'd0;
+reg usbdevice_tx_pkt_start = 1'd0;
+wire usbdevice_tx_pkt_end;
+reg [3:0] usbdevice_tx_pid = 4'd0;
+reg usbdevice_tx_data_avail = 1'd0;
+wire usbdevice_tx_data_get;
+reg [7:0] usbdevice_tx_data = 8'd0;
+wire usbdevice_usb_tx_en;
+wire usbdevice_usb_p_tx;
+wire usbdevice_usb_n_tx;
+reg usbdevice_usb_p_rx = 1'd0;
+reg usbdevice_usb_n_rx = 1'd0;
+wire usbdevice_usb_p_rx_io;
+wire usbdevice_usb_n_rx_io;
+wire usbdevice_usbfsrx_usbp;
+wire usbdevice_usbfsrx_usbn;
+wire [1:0] usbdevice_usbfsrx_dpair;
+reg usbdevice_usbfsrx_line_state_dt = 1'd0;
+reg usbdevice_usbfsrx_line_state_dj0 = 1'd0;
+reg usbdevice_usbfsrx_line_state_dk0 = 1'd0;
+reg usbdevice_usbfsrx_line_state_se00 = 1'd0;
+reg usbdevice_usbfsrx_line_state_se10 = 1'd0;
+reg [1:0] usbdevice_usbfsrx_line_state_phase = 2'd0;
+reg usbdevice_usbfsrx_line_state_valid = 1'd0;
+reg usbdevice_usbfsrx_line_state_dj1 = 1'd0;
+reg usbdevice_usbfsrx_line_state_dk1 = 1'd0;
+reg usbdevice_usbfsrx_line_state_se01 = 1'd0;
+reg usbdevice_usbfsrx_line_state_se11 = 1'd0;
+reg usbdevice_usbfsrx_nrzi_o_valid0 = 1'd0;
+reg usbdevice_usbfsrx_nrzi_o_data0 = 1'd0;
+reg usbdevice_usbfsrx_nrzi_o_valid1 = 1'd0;
+reg usbdevice_usbfsrx_nrzi_o_data1 = 1'd0;
+reg usbdevice_usbfsrx_nrzi_o_se0 = 1'd0;
+reg usbdevice_usbfsrx_bitstuff_drop_bit = 1'd0;
+reg usbdevice_usbfsrx_bitstuff_o_valid = 1'd0;
+reg usbdevice_usbfsrx_bitstuff_o_data = 1'd0;
+reg usbdevice_usbfsrx_bitstuff_o_se0 = 1'd0;
+reg usbdevice_usbfsrx_bitstuff_o_bitstuff_error = 1'd0;
+reg usbdevice_usbfsrx_valid = 1'd0;
+reg usbdevice_usbfsrx_data = 1'd0;
+reg usbdevice_usbfsrx_se0 = 1'd0;
+reg usbdevice_usbfsrx_bitstuff_error = 1'd0;
+reg usbdevice_usbfsrx_pkt_start = 1'd0;
+reg usbdevice_usbfsrx_pkt_active0 = 1'd0;
+reg usbdevice_usbfsrx_pkt_end0 = 1'd0;
+reg usbdevice_usbfsrx_o_pkt_start0 = 1'd0;
+reg usbdevice_usbfsrx_o_pkt_active = 1'd0;
+reg usbdevice_usbfsrx_o_pkt_end0 = 1'd0;
+reg usbdevice_usbfsrx_pkt_active1 = 1'd0;
+reg usbdevice_usbfsrx_pkt_end1 = 1'd0;
+reg [8:0] usbdevice_usbfsrx_pid_shifter_shift_reg = 9'd0;
+wire usbdevice_usbfsrx_pid_shifter_o_full;
+wire [7:0] usbdevice_usbfsrx_pid_shifter_o_output;
+reg usbdevice_usbfsrx_pid_shifter_o_put = 1'd0;
+reg [16:0] usbdevice_usbfsrx_tok_shifter_shift_reg = 17'd0;
+wire usbdevice_usbfsrx_tok_shifter_o_full;
+wire [15:0] usbdevice_usbfsrx_tok_shifter_o_output;
+reg usbdevice_usbfsrx_tok_shifter_o_put = 1'd0;
+reg [4:0] usbdevice_usbfsrx_tok_crc5_crc = 5'd0;
+wire usbdevice_usbfsrx_tok_crc5_crc_good;
+wire usbdevice_usbfsrx_tok_crc5_crc_invert;
+reg usbdevice_usbfsrx_tok_crc5_o_crc_good = 1'd0;
+wire usbdevice_usbfsrx_data_put;
+reg [8:0] usbdevice_usbfsrx_data_shifter_shift_reg = 9'd0;
+wire usbdevice_usbfsrx_data_shifter_o_full;
+wire [7:0] usbdevice_usbfsrx_data_shifter_o_output;
+reg usbdevice_usbfsrx_data_shifter_o_put = 1'd0;
+reg [15:0] usbdevice_usbfsrx_data_crc16_crc = 16'd0;
+wire usbdevice_usbfsrx_data_crc16_crc_good;
+wire usbdevice_usbfsrx_data_crc16_crc_invert;
+reg usbdevice_usbfsrx_data_crc16_o_crc_good = 1'd0;
+reg usbdevice_usbfsrx_pkt_bitstuff_good = 1'd0;
+reg usbdevice_usbfsrx_o_pkt_data_put = 1'd0;
+reg usbdevice_usbfsrx_crc16_good = 1'd0;
+reg usbdevice_usbfsrx_o_pkt_start1 = 1'd0;
+reg [3:0] usbdevice_usbfsrx_o_pkt_pid = 4'd0;
+reg [10:0] usbdevice_usbfsrx_o_pkt_token_payload = 11'd0;
+reg [7:0] usbdevice_usbfsrx_o_pkt_data = 8'd0;
+reg usbdevice_usbfsrx_o_pkt_good = 1'd0;
+reg usbdevice_usbfsrx_o_pkt_end1 = 1'd0;
+wire [6:0] usbdevice_rx_addr;
+wire [3:0] usbdevice_rx_endp;
+wire usbdevice_usbfstx_bitstuff_stall;
+reg usbdevice_usbfstx_pkt_active = 1'd0;
+reg usbdevice_usbfstx_shift_sync = 1'd0;
+reg usbdevice_usbfstx_shift_pid = 1'd0;
+reg usbdevice_usbfstx_shift_eop = 1'd0;
+reg usbdevice_usbfstx_load_data = 1'd0;
+reg usbdevice_usbfstx_shift_data = 1'd0;
+reg usbdevice_usbfstx_load_crc16 = 1'd0;
+reg usbdevice_usbfstx_shift_crc16 = 1'd0;
+reg usbdevice_usbfstx_pkt_end = 1'd0;
+reg [8:0] usbdevice_usbfstx_sync_shifter_shifter = 9'd0;
+wire usbdevice_usbfstx_sync_shifter_o_data;
+wire usbdevice_usbfstx_sync_shifter_o_empty;
+reg usbdevice_usbfstx_sync_shifter_not_empty = 1'd0;
+reg [8:0] usbdevice_usbfstx_pid_shifter_shifter = 9'd0;
+wire usbdevice_usbfstx_pid_shifter_o_data;
+wire usbdevice_usbfstx_pid_shifter_o_empty;
+reg usbdevice_usbfstx_pid_shifter_not_empty = 1'd0;
+reg [8:0] usbdevice_usbfstx_data_shifter_shifter = 9'd0;
+wire usbdevice_usbfstx_data_shifter_o_data;
+wire usbdevice_usbfstx_data_shifter_o_empty;
+reg usbdevice_usbfstx_data_shifter_not_empty = 1'd0;
+reg [15:0] usbdevice_usbfstx_crc = 16'd0;
+wire usbdevice_usbfstx_crc_invert;
+reg [15:0] usbdevice_usbfstx_o_crc = 16'd0;
+reg [16:0] usbdevice_usbfstx_crc16_shifter_shifter = 17'd0;
+wire usbdevice_usbfstx_crc16_shifter_o_data;
+wire usbdevice_usbfstx_crc16_shifter_o_empty;
+reg usbdevice_usbfstx_crc16_shifter_not_empty = 1'd0;
+reg usbdevice_usbfstx_pid_is_data = 1'd0;
+reg usbdevice_usbfstx_mux_stuff_oe = 1'd0;
+reg usbdevice_usbfstx_mux_stuff_data = 1'd0;
+reg usbdevice_usbfstx_mux_stuff_se0 = 1'd0;
+reg usbdevice_usbfstx_mux_stuff_bit_strobe = 1'd0;
+reg usbdevice_usbfstx_bitstuffer_stuff_bit = 1'd0;
+wire usbdevice_usbfstx_bitstuffer_o_stall;
+reg usbdevice_usbfstx_bitstuffer_o_data = 1'd0;
+reg usbdevice_usbfstx_bitstuffer_o_se0 = 1'd0;
+reg usbdevice_usbfstx_bitstuffer_o_oe = 1'd0;
+reg usbdevice_usbfstx_nrzi_usbp = 1'd0;
+reg usbdevice_usbfstx_nrzi_usbn = 1'd0;
+reg usbdevice_usbfstx_nrzi_oe = 1'd0;
+reg usbdevice_usbfstx_nrzi_o_usbp = 1'd0;
+reg usbdevice_usbfstx_nrzi_o_usbn = 1'd0;
+reg usbdevice_usbfstx_nrzi_o_oe = 1'd0;
+reg usbdevice_usbfstx_o_data_get = 1'd0;
+reg usbdevice_usbfstx_o_pkt_end = 1'd0;
+reg usbdevice_usbfstx_o_usbp = 1'd0;
+reg usbdevice_usbfstx_o_usbn = 1'd0;
+reg usbdevice_usbfstx_o_oe = 1'd0;
+reg [3:0] usbdevice_current_token = 4'd0;
+reg [3:0] usbdevice_current_endp = 4'd0;
+wire usbdevice_valid_request_token_pre;
+reg usbdevice_valid_request_token = 1'd0;
+wire usbdevice_valid_out_token;
+wire usbdevice_valid_data_packet;
+wire usbdevice_valid_in_token;
+wire usbdevice_valid_ack_packet;
+reg [7:0] io_input = 8'd0;
+wire [7:0] io_output;
+wire [7:0] io_status;
+reg [7:0] io_storage_full = 8'd0;
+wire [7:0] io_storage;
+reg io_re = 1'd0;
+wire scope_clk;
+wire scope_rst;
+wire mux_valid;
+reg mux_ready = 1'd0;
+reg mux_first = 1'd0;
+reg mux_last = 1'd0;
+wire [1:0] mux_payload_data;
+reg mux_payload_hit = 1'd0;
+reg mux_source_valid = 1'd0;
+wire mux_source_ready;
+reg mux_source_first = 1'd0;
+reg mux_source_last = 1'd0;
+reg [1:0] mux_source_payload_data = 2'd0;
+reg mux_source_payload_hit = 1'd0;
+reg mux_value_storage_full = 1'd0;
+wire mux_value_storage;
+reg mux_value_re = 1'd0;
+wire mux_value;
+wire trigger_sink_sink_valid;
+wire trigger_sink_sink_ready;
+wire trigger_sink_sink_first;
+wire trigger_sink_sink_last;
+wire [1:0] trigger_sink_sink_payload_data;
+wire trigger_sink_sink_payload_hit;
+wire trigger_source_source_valid;
+wire trigger_source_source_ready;
+wire trigger_source_source_first;
+wire trigger_source_source_last;
+wire [1:0] trigger_source_source_payload_data;
+reg trigger_source_source_payload_hit = 1'd0;
+reg trigger_enable_storage_full = 1'd0;
+wire trigger_enable_storage;
+reg trigger_enable_re = 1'd0;
+wire trigger_done_status;
+wire trigger_mem_write_re;
+wire trigger_mem_write_r;
+reg trigger_mem_write_w = 1'd0;
+reg [1:0] trigger_mem_mask_storage_full = 2'd0;
+wire [1:0] trigger_mem_mask_storage;
+reg trigger_mem_mask_re = 1'd0;
+reg [1:0] trigger_mem_value_storage_full = 2'd0;
+wire [1:0] trigger_mem_value_storage;
+reg trigger_mem_value_re = 1'd0;
+wire trigger_mem_full_status;
+wire trigger_enable;
+reg trigger_enable_d = 1'd0;
+wire trigger_done0;
+wire trigger_mem_sink_valid;
+wire trigger_mem_sink_ready;
+reg trigger_mem_sink_first = 1'd0;
+reg trigger_mem_sink_last = 1'd0;
+wire [1:0] trigger_mem_sink_payload_mask;
+wire [1:0] trigger_mem_sink_payload_value;
+wire trigger_mem_source_valid;
+wire trigger_mem_source_ready;
+wire trigger_mem_source_first;
+wire trigger_mem_source_last;
+wire [1:0] trigger_mem_source_payload_mask;
+wire [1:0] trigger_mem_source_payload_value;
+wire trigger_mem_asyncfifo_we;
+wire trigger_mem_asyncfifo_writable;
+wire trigger_mem_asyncfifo_re;
+wire trigger_mem_asyncfifo_readable;
+wire [5:0] trigger_mem_asyncfifo_din;
+wire [5:0] trigger_mem_asyncfifo_dout;
+wire trigger_mem_graycounter0_ce;
+reg [4:0] trigger_mem_graycounter0_q = 5'd0;
+wire [4:0] trigger_mem_graycounter0_q_next;
+reg [4:0] trigger_mem_graycounter0_q_binary = 5'd0;
+reg [4:0] trigger_mem_graycounter0_q_next_binary = 5'd0;
+wire trigger_mem_graycounter1_ce;
+reg [4:0] trigger_mem_graycounter1_q = 5'd0;
+wire [4:0] trigger_mem_graycounter1_q_next;
+reg [4:0] trigger_mem_graycounter1_q_binary = 5'd0;
+reg [4:0] trigger_mem_graycounter1_q_next_binary = 5'd0;
+wire [4:0] trigger_mem_produce_rdomain;
+wire [4:0] trigger_mem_consume_wdomain;
+wire [3:0] trigger_mem_wrport_adr;
+wire [5:0] trigger_mem_wrport_dat_r;
+wire trigger_mem_wrport_we;
+wire [5:0] trigger_mem_wrport_dat_w;
+wire [3:0] trigger_mem_rdport_adr;
+wire [5:0] trigger_mem_rdport_dat_r;
+wire [1:0] trigger_mem_fifo_in_payload_mask;
+wire [1:0] trigger_mem_fifo_in_payload_value;
+wire trigger_mem_fifo_in_first;
+wire trigger_mem_fifo_in_last;
+wire [1:0] trigger_mem_fifo_out_payload_mask;
+wire [1:0] trigger_mem_fifo_out_payload_value;
+wire trigger_mem_fifo_out_first;
+wire trigger_mem_fifo_out_last;
+wire trigger_hit;
+wire trigger_wait;
+wire trigger_done1;
+reg [4:0] trigger_count = 5'd16;
+wire subsampler_sink_valid;
+wire subsampler_sink_ready;
+wire subsampler_sink_first;
+wire subsampler_sink_last;
+wire [1:0] subsampler_sink_payload_data;
+wire subsampler_sink_payload_hit;
+wire subsampler_source_valid;
+wire subsampler_source_ready;
+wire subsampler_source_first;
+wire subsampler_source_last;
+wire [1:0] subsampler_source_payload_data;
+wire subsampler_source_payload_hit;
+reg [15:0] subsampler_value_storage_full = 16'd0;
+wire [15:0] subsampler_value_storage;
+reg subsampler_value_re = 1'd0;
+wire [15:0] subsampler_value;
+reg [15:0] subsampler_counter = 16'd0;
+wire subsampler_done;
+wire storage_sink_sink_valid;
+reg storage_sink_sink_ready = 1'd0;
+wire storage_sink_sink_first;
+wire storage_sink_sink_last;
+wire [1:0] storage_sink_sink_payload_data;
+wire storage_sink_sink_payload_hit;
+reg storage_enable_storage_full = 1'd0;
+wire storage_enable_storage;
+reg storage_enable_re = 1'd0;
+wire storage_done_status;
+reg [8:0] storage_length_storage_full = 9'd0;
+wire [8:0] storage_length_storage;
+reg storage_length_re = 1'd0;
+reg [8:0] storage_offset_storage_full = 9'd0;
+wire [8:0] storage_offset_storage;
+reg storage_offset_re = 1'd0;
+wire storage_mem_valid_status;
+wire storage_mem_ready_re;
+wire storage_mem_ready_r;
+reg storage_mem_ready_w = 1'd0;
+wire [1:0] storage_mem_data_status;
+wire storage_enable;
+reg storage_enable_d = 1'd0;
+wire [7:0] storage_length;
+wire [7:0] storage_offset;
+reg storage_done0 = 1'd0;
+reg storage_mem_sink_valid = 1'd0;
+wire storage_mem_sink_ready;
+reg storage_mem_sink_first = 1'd0;
+reg storage_mem_sink_last = 1'd0;
+reg [1:0] storage_mem_sink_payload_data = 2'd0;
+wire storage_mem_source_valid;
+reg storage_mem_source_ready = 1'd0;
+wire storage_mem_source_first;
+wire storage_mem_source_last;
+wire [1:0] storage_mem_source_payload_data;
+wire storage_mem_re;
+reg storage_mem_readable = 1'd0;
+wire storage_mem_syncfifo_we;
+wire storage_mem_syncfifo_writable;
+wire storage_mem_syncfifo_re;
+wire storage_mem_syncfifo_readable;
+wire [3:0] storage_mem_syncfifo_din;
+wire [3:0] storage_mem_syncfifo_dout;
+reg [8:0] storage_mem_level0 = 9'd0;
+reg storage_mem_replace = 1'd0;
+reg [7:0] storage_mem_produce = 8'd0;
+reg [7:0] storage_mem_consume = 8'd0;
+reg [7:0] storage_mem_wrport_adr = 8'd0;
+wire [3:0] storage_mem_wrport_dat_r;
+wire storage_mem_wrport_we;
+wire [3:0] storage_mem_wrport_dat_w;
+wire storage_mem_do_read;
+wire [7:0] storage_mem_rdport_adr;
+wire [3:0] storage_mem_rdport_dat_r;
+wire storage_mem_rdport_re;
+wire [8:0] storage_mem_level1;
+wire [1:0] storage_mem_fifo_in_payload_data;
+wire storage_mem_fifo_in_first;
+wire storage_mem_fifo_in_last;
+wire [1:0] storage_mem_fifo_out_payload_data;
+wire storage_mem_fifo_out_first;
+wire storage_mem_fifo_out_last;
+reg storage_cdc_sink_valid = 1'd0;
+wire storage_cdc_sink_ready;
+reg storage_cdc_sink_first = 1'd0;
+reg storage_cdc_sink_last = 1'd0;
+reg [1:0] storage_cdc_sink_payload_data = 2'd0;
+wire storage_cdc_source_valid;
+wire storage_cdc_source_ready;
+wire storage_cdc_source_first;
+wire storage_cdc_source_last;
+wire [1:0] storage_cdc_source_payload_data;
+wire storage_cdc_asyncfifo_we;
+wire storage_cdc_asyncfifo_writable;
+wire storage_cdc_asyncfifo_re;
+wire storage_cdc_asyncfifo_readable;
+wire [3:0] storage_cdc_asyncfifo_din;
+wire [3:0] storage_cdc_asyncfifo_dout;
+wire storage_cdc_graycounter0_ce;
+reg [2:0] storage_cdc_graycounter0_q = 3'd0;
+wire [2:0] storage_cdc_graycounter0_q_next;
+reg [2:0] storage_cdc_graycounter0_q_binary = 3'd0;
+reg [2:0] storage_cdc_graycounter0_q_next_binary = 3'd0;
+wire storage_cdc_graycounter1_ce;
+reg [2:0] storage_cdc_graycounter1_q = 3'd0;
+wire [2:0] storage_cdc_graycounter1_q_next;
+reg [2:0] storage_cdc_graycounter1_q_binary = 3'd0;
+reg [2:0] storage_cdc_graycounter1_q_next_binary = 3'd0;
+wire [2:0] storage_cdc_produce_rdomain;
+wire [2:0] storage_cdc_consume_wdomain;
+wire [1:0] storage_cdc_wrport_adr;
+wire [3:0] storage_cdc_wrport_dat_r;
+wire storage_cdc_wrport_we;
+wire [3:0] storage_cdc_wrport_dat_w;
+wire [1:0] storage_cdc_rdport_adr;
+wire [3:0] storage_cdc_rdport_dat_r;
+wire [1:0] storage_cdc_fifo_in_payload_data;
+wire storage_cdc_fifo_in_first;
+wire storage_cdc_fifo_in_last;
+wire [1:0] storage_cdc_fifo_out_payload_data;
+wire storage_cdc_fifo_out_first;
+wire storage_cdc_fifo_out_last;
+reg storage_wait = 1'd0;
+wire storage_done1;
+reg [8:0] storage_count = 9'd256;
+reg [2:0] uartwishbonebridge_state = 3'd0;
+reg [2:0] uartwishbonebridge_next_state = 3'd0;
+reg [2:0] rxclockdatarecovery_state = 3'd0;
+reg [2:0] rxclockdatarecovery_next_state = 3'd0;
+reg rxnrzidecoder_state = 1'd0;
+reg rxnrzidecoder_next_state = 1'd0;
+reg [2:0] rxbitstuffremover_state = 3'd0;
+reg [2:0] rxbitstuffremover_next_state = 3'd0;
+reg [2:0] rxpacketdecode_state = 3'd0;
+reg [2:0] rxpacketdecode_next_state = 3'd0;
+reg [2:0] fsm_state = 3'd0;
+reg [2:0] fsm_next_state = 3'd0;
+reg [2:0] txbitstuffer_state = 3'd0;
+reg [2:0] txbitstuffer_next_state = 3'd0;
+reg [2:0] txnrziencoder_state = 3'd0;
+reg [2:0] txnrziencoder_next_state = 3'd0;
+reg [2:0] fsm0_state = 3'd0;
+reg [2:0] fsm0_next_state = 3'd0;
+reg [2:0] fsm1_state = 3'd0;
+reg [2:0] fsm1_next_state = 3'd0;
+reg [1:0] litescopeanalyzer_state = 2'd0;
+reg [1:0] litescopeanalyzer_next_state = 2'd0;
+wire [29:0] shared_adr;
+wire [31:0] shared_dat_w;
+reg [31:0] shared_dat_r = 32'd0;
+wire [3:0] shared_sel;
+wire shared_cyc;
+wire shared_stb;
+reg shared_ack = 1'd0;
+wire shared_we;
+wire [2:0] shared_cti;
+wire [1:0] shared_bte;
+wire shared_err;
+wire request;
+wire grant;
+reg [1:0] slave_sel = 2'd0;
+reg [1:0] slave_sel_r = 2'd0;
+reg error = 1'd0;
+wire wait_1;
+wire done;
+reg [16:0] count = 17'd65536;
+wire [13:0] interface0_bank_bus_adr;
+wire interface0_bank_bus_we;
+wire [7:0] interface0_bank_bus_dat_w;
+reg [7:0] interface0_bank_bus_dat_r = 8'd0;
+wire csrbank0_mux_value0_re;
+wire csrbank0_mux_value0_r;
+wire csrbank0_mux_value0_w;
+wire csrbank0_trigger_enable0_re;
+wire csrbank0_trigger_enable0_r;
+wire csrbank0_trigger_enable0_w;
+wire csrbank0_trigger_done_re;
+wire csrbank0_trigger_done_r;
+wire csrbank0_trigger_done_w;
+wire csrbank0_trigger_mem_mask0_re;
+wire [1:0] csrbank0_trigger_mem_mask0_r;
+wire [1:0] csrbank0_trigger_mem_mask0_w;
+wire csrbank0_trigger_mem_value0_re;
+wire [1:0] csrbank0_trigger_mem_value0_r;
+wire [1:0] csrbank0_trigger_mem_value0_w;
+wire csrbank0_trigger_mem_full_re;
+wire csrbank0_trigger_mem_full_r;
+wire csrbank0_trigger_mem_full_w;
+wire csrbank0_subsampler_value1_re;
+wire [7:0] csrbank0_subsampler_value1_r;
+wire [7:0] csrbank0_subsampler_value1_w;
+wire csrbank0_subsampler_value0_re;
+wire [7:0] csrbank0_subsampler_value0_r;
+wire [7:0] csrbank0_subsampler_value0_w;
+wire csrbank0_storage_enable0_re;
+wire csrbank0_storage_enable0_r;
+wire csrbank0_storage_enable0_w;
+wire csrbank0_storage_done_re;
+wire csrbank0_storage_done_r;
+wire csrbank0_storage_done_w;
+wire csrbank0_storage_length1_re;
+wire csrbank0_storage_length1_r;
+wire csrbank0_storage_length1_w;
+wire csrbank0_storage_length0_re;
+wire [7:0] csrbank0_storage_length0_r;
+wire [7:0] csrbank0_storage_length0_w;
+wire csrbank0_storage_offset1_re;
+wire csrbank0_storage_offset1_r;
+wire csrbank0_storage_offset1_w;
+wire csrbank0_storage_offset0_re;
+wire [7:0] csrbank0_storage_offset0_r;
+wire [7:0] csrbank0_storage_offset0_w;
+wire csrbank0_storage_mem_valid_re;
+wire csrbank0_storage_mem_valid_r;
+wire csrbank0_storage_mem_valid_w;
+wire csrbank0_storage_mem_data_re;
+wire [1:0] csrbank0_storage_mem_data_r;
+wire [1:0] csrbank0_storage_mem_data_w;
+wire csrbank0_sel;
+wire [13:0] interface1_bank_bus_adr;
+wire interface1_bank_bus_we;
+wire [7:0] interface1_bank_bus_dat_w;
+reg [7:0] interface1_bank_bus_dat_r = 8'd0;
+wire csrbank1_scratch3_re;
+wire [7:0] csrbank1_scratch3_r;
+wire [7:0] csrbank1_scratch3_w;
+wire csrbank1_scratch2_re;
+wire [7:0] csrbank1_scratch2_r;
+wire [7:0] csrbank1_scratch2_w;
+wire csrbank1_scratch1_re;
+wire [7:0] csrbank1_scratch1_r;
+wire [7:0] csrbank1_scratch1_w;
+wire csrbank1_scratch0_re;
+wire [7:0] csrbank1_scratch0_r;
+wire [7:0] csrbank1_scratch0_w;
+wire csrbank1_bus_errors3_re;
+wire [7:0] csrbank1_bus_errors3_r;
+wire [7:0] csrbank1_bus_errors3_w;
+wire csrbank1_bus_errors2_re;
+wire [7:0] csrbank1_bus_errors2_r;
+wire [7:0] csrbank1_bus_errors2_w;
+wire csrbank1_bus_errors1_re;
+wire [7:0] csrbank1_bus_errors1_r;
+wire [7:0] csrbank1_bus_errors1_w;
+wire csrbank1_bus_errors0_re;
+wire [7:0] csrbank1_bus_errors0_r;
+wire [7:0] csrbank1_bus_errors0_w;
+wire csrbank1_sel;
+wire [13:0] sram_bus_adr;
+wire sram_bus_we;
+wire [7:0] sram_bus_dat_w;
+reg [7:0] sram_bus_dat_r = 8'd0;
+wire [3:0] adr;
+wire [7:0] dat_r;
+wire sel;
+reg sel_r = 1'd0;
+wire [13:0] interface2_bank_bus_adr;
+wire interface2_bank_bus_we;
+wire [7:0] interface2_bank_bus_dat_w;
+reg [7:0] interface2_bank_bus_dat_r = 8'd0;
+wire csrbank2_git_commit19_re;
+wire [7:0] csrbank2_git_commit19_r;
+wire [7:0] csrbank2_git_commit19_w;
+wire csrbank2_git_commit18_re;
+wire [7:0] csrbank2_git_commit18_r;
+wire [7:0] csrbank2_git_commit18_w;
+wire csrbank2_git_commit17_re;
+wire [7:0] csrbank2_git_commit17_r;
+wire [7:0] csrbank2_git_commit17_w;
+wire csrbank2_git_commit16_re;
+wire [7:0] csrbank2_git_commit16_r;
+wire [7:0] csrbank2_git_commit16_w;
+wire csrbank2_git_commit15_re;
+wire [7:0] csrbank2_git_commit15_r;
+wire [7:0] csrbank2_git_commit15_w;
+wire csrbank2_git_commit14_re;
+wire [7:0] csrbank2_git_commit14_r;
+wire [7:0] csrbank2_git_commit14_w;
+wire csrbank2_git_commit13_re;
+wire [7:0] csrbank2_git_commit13_r;
+wire [7:0] csrbank2_git_commit13_w;
+wire csrbank2_git_commit12_re;
+wire [7:0] csrbank2_git_commit12_r;
+wire [7:0] csrbank2_git_commit12_w;
+wire csrbank2_git_commit11_re;
+wire [7:0] csrbank2_git_commit11_r;
+wire [7:0] csrbank2_git_commit11_w;
+wire csrbank2_git_commit10_re;
+wire [7:0] csrbank2_git_commit10_r;
+wire [7:0] csrbank2_git_commit10_w;
+wire csrbank2_git_commit9_re;
+wire [7:0] csrbank2_git_commit9_r;
+wire [7:0] csrbank2_git_commit9_w;
+wire csrbank2_git_commit8_re;
+wire [7:0] csrbank2_git_commit8_r;
+wire [7:0] csrbank2_git_commit8_w;
+wire csrbank2_git_commit7_re;
+wire [7:0] csrbank2_git_commit7_r;
+wire [7:0] csrbank2_git_commit7_w;
+wire csrbank2_git_commit6_re;
+wire [7:0] csrbank2_git_commit6_r;
+wire [7:0] csrbank2_git_commit6_w;
+wire csrbank2_git_commit5_re;
+wire [7:0] csrbank2_git_commit5_r;
+wire [7:0] csrbank2_git_commit5_w;
+wire csrbank2_git_commit4_re;
+wire [7:0] csrbank2_git_commit4_r;
+wire [7:0] csrbank2_git_commit4_w;
+wire csrbank2_git_commit3_re;
+wire [7:0] csrbank2_git_commit3_r;
+wire [7:0] csrbank2_git_commit3_w;
+wire csrbank2_git_commit2_re;
+wire [7:0] csrbank2_git_commit2_r;
+wire [7:0] csrbank2_git_commit2_w;
+wire csrbank2_git_commit1_re;
+wire [7:0] csrbank2_git_commit1_r;
+wire [7:0] csrbank2_git_commit1_w;
+wire csrbank2_git_commit0_re;
+wire [7:0] csrbank2_git_commit0_r;
+wire [7:0] csrbank2_git_commit0_w;
+wire csrbank2_platform_platform7_re;
+wire [7:0] csrbank2_platform_platform7_r;
+wire [7:0] csrbank2_platform_platform7_w;
+wire csrbank2_platform_platform6_re;
+wire [7:0] csrbank2_platform_platform6_r;
+wire [7:0] csrbank2_platform_platform6_w;
+wire csrbank2_platform_platform5_re;
+wire [7:0] csrbank2_platform_platform5_r;
+wire [7:0] csrbank2_platform_platform5_w;
+wire csrbank2_platform_platform4_re;
+wire [7:0] csrbank2_platform_platform4_r;
+wire [7:0] csrbank2_platform_platform4_w;
+wire csrbank2_platform_platform3_re;
+wire [7:0] csrbank2_platform_platform3_r;
+wire [7:0] csrbank2_platform_platform3_w;
+wire csrbank2_platform_platform2_re;
+wire [7:0] csrbank2_platform_platform2_r;
+wire [7:0] csrbank2_platform_platform2_w;
+wire csrbank2_platform_platform1_re;
+wire [7:0] csrbank2_platform_platform1_r;
+wire [7:0] csrbank2_platform_platform1_w;
+wire csrbank2_platform_platform0_re;
+wire [7:0] csrbank2_platform_platform0_r;
+wire [7:0] csrbank2_platform_platform0_w;
+wire csrbank2_platform_target7_re;
+wire [7:0] csrbank2_platform_target7_r;
+wire [7:0] csrbank2_platform_target7_w;
+wire csrbank2_platform_target6_re;
+wire [7:0] csrbank2_platform_target6_r;
+wire [7:0] csrbank2_platform_target6_w;
+wire csrbank2_platform_target5_re;
+wire [7:0] csrbank2_platform_target5_r;
+wire [7:0] csrbank2_platform_target5_w;
+wire csrbank2_platform_target4_re;
+wire [7:0] csrbank2_platform_target4_r;
+wire [7:0] csrbank2_platform_target4_w;
+wire csrbank2_platform_target3_re;
+wire [7:0] csrbank2_platform_target3_r;
+wire [7:0] csrbank2_platform_target3_w;
+wire csrbank2_platform_target2_re;
+wire [7:0] csrbank2_platform_target2_r;
+wire [7:0] csrbank2_platform_target2_w;
+wire csrbank2_platform_target1_re;
+wire [7:0] csrbank2_platform_target1_r;
+wire [7:0] csrbank2_platform_target1_w;
+wire csrbank2_platform_target0_re;
+wire [7:0] csrbank2_platform_target0_r;
+wire [7:0] csrbank2_platform_target0_w;
+wire csrbank2_sel;
+wire [13:0] interface3_bank_bus_adr;
+wire interface3_bank_bus_we;
+wire [7:0] interface3_bank_bus_dat_w;
+reg [7:0] interface3_bank_bus_dat_r = 8'd0;
+wire csrbank3_in_re;
+wire [7:0] csrbank3_in_r;
+wire [7:0] csrbank3_in_w;
+wire csrbank3_out0_re;
+wire [7:0] csrbank3_out0_r;
+wire [7:0] csrbank3_out0_w;
+wire csrbank3_sel;
+wire [13:0] interface4_bank_bus_adr;
+wire interface4_bank_bus_we;
+wire [7:0] interface4_bank_bus_dat_w;
+reg [7:0] interface4_bank_bus_dat_r = 8'd0;
+wire csrbank4_bitbang0_re;
+wire [3:0] csrbank4_bitbang0_r;
+wire [3:0] csrbank4_bitbang0_w;
+wire csrbank4_miso_re;
+wire csrbank4_miso_r;
+wire csrbank4_miso_w;
+wire csrbank4_bitbang_en0_re;
+wire csrbank4_bitbang_en0_r;
+wire csrbank4_bitbang_en0_w;
+wire csrbank4_sel;
+wire [13:0] interface5_bank_bus_adr;
+wire interface5_bank_bus_we;
+wire [7:0] interface5_bank_bus_dat_w;
+reg [7:0] interface5_bank_bus_dat_r = 8'd0;
+wire csrbank5_load3_re;
+wire [7:0] csrbank5_load3_r;
+wire [7:0] csrbank5_load3_w;
+wire csrbank5_load2_re;
+wire [7:0] csrbank5_load2_r;
+wire [7:0] csrbank5_load2_w;
+wire csrbank5_load1_re;
+wire [7:0] csrbank5_load1_r;
+wire [7:0] csrbank5_load1_w;
+wire csrbank5_load0_re;
+wire [7:0] csrbank5_load0_r;
+wire [7:0] csrbank5_load0_w;
+wire csrbank5_reload3_re;
+wire [7:0] csrbank5_reload3_r;
+wire [7:0] csrbank5_reload3_w;
+wire csrbank5_reload2_re;
+wire [7:0] csrbank5_reload2_r;
+wire [7:0] csrbank5_reload2_w;
+wire csrbank5_reload1_re;
+wire [7:0] csrbank5_reload1_r;
+wire [7:0] csrbank5_reload1_w;
+wire csrbank5_reload0_re;
+wire [7:0] csrbank5_reload0_r;
+wire [7:0] csrbank5_reload0_w;
+wire csrbank5_en0_re;
+wire csrbank5_en0_r;
+wire csrbank5_en0_w;
+wire csrbank5_value3_re;
+wire [7:0] csrbank5_value3_r;
+wire [7:0] csrbank5_value3_w;
+wire csrbank5_value2_re;
+wire [7:0] csrbank5_value2_r;
+wire [7:0] csrbank5_value2_w;
+wire csrbank5_value1_re;
+wire [7:0] csrbank5_value1_r;
+wire [7:0] csrbank5_value1_w;
+wire csrbank5_value0_re;
+wire [7:0] csrbank5_value0_r;
+wire [7:0] csrbank5_value0_w;
+wire csrbank5_ev_enable0_re;
+wire csrbank5_ev_enable0_r;
+wire csrbank5_ev_enable0_w;
+wire csrbank5_sel;
+wire [7:0] slice_proxy0;
+wire [7:0] slice_proxy1;
+reg t_array_muxed = 1'd0;
+reg basiclowerer_array_muxed = 1'd0;
+reg rhs_array_muxed = 1'd0;
+reg [29:0] array_muxed0 = 30'd0;
+reg [31:0] array_muxed1 = 32'd0;
+reg [3:0] array_muxed2 = 4'd0;
+reg array_muxed3 = 1'd0;
+reg array_muxed4 = 1'd0;
+reg array_muxed5 = 1'd0;
+reg [2:0] array_muxed6 = 3'd0;
+reg [1:0] array_muxed7 = 2'd0;
+wire rst1;
+reg multiregimpl0_regs0 = 1'd0;
+reg multiregimpl0_regs1 = 1'd0;
+reg multiregimpl1_regs0 = 1'd0;
+reg multiregimpl1_regs1 = 1'd0;
+reg multiregimpl1_regs2 = 1'd0;
+reg multiregimpl2_regs0 = 1'd0;
+reg multiregimpl2_regs1 = 1'd0;
+reg multiregimpl2_regs2 = 1'd0;
+reg [7:0] multiregimpl3_regs0 = 8'd0;
+reg [7:0] multiregimpl3_regs1 = 8'd0;
+reg multiregimpl4_regs0 = 1'd0;
+reg multiregimpl4_regs1 = 1'd0;
+reg multiregimpl5_regs0 = 1'd0;
+reg multiregimpl5_regs1 = 1'd0;
+reg multiregimpl6_regs0 = 1'd0;
+reg multiregimpl6_regs1 = 1'd0;
+reg [4:0] multiregimpl7_regs0 = 5'd0;
+reg [4:0] multiregimpl7_regs1 = 5'd0;
+reg [4:0] multiregimpl8_regs0 = 5'd0;
+reg [4:0] multiregimpl8_regs1 = 5'd0;
+reg [15:0] multiregimpl9_regs0 = 16'd0;
+reg [15:0] multiregimpl9_regs1 = 16'd0;
+reg multiregimpl10_regs0 = 1'd0;
+reg multiregimpl10_regs1 = 1'd0;
+reg [8:0] multiregimpl11_regs0 = 9'd0;
+reg [8:0] multiregimpl11_regs1 = 9'd0;
+reg [8:0] multiregimpl12_regs0 = 9'd0;
+reg [8:0] multiregimpl12_regs1 = 9'd0;
+reg multiregimpl13_regs0 = 1'd0;
+reg multiregimpl13_regs1 = 1'd0;
+reg [2:0] multiregimpl14_regs0 = 3'd0;
+reg [2:0] multiregimpl14_regs1 = 3'd0;
+reg [2:0] multiregimpl15_regs0 = 3'd0;
+reg [2:0] multiregimpl15_regs1 = 3'd0;
+
+assign user_led0 = io_output[0];
+assign usb_pullup = io_output[1];
+assign usbtestsoc_usbtestsoc_bus_error = error;
+assign usbtestsoc_usbtestsoc_reset = usbtestsoc_usbtestsoc_reset_reset_re;
+assign usbtestsoc_usbtestsoc_bus_errors_status = usbtestsoc_usbtestsoc_bus_errors;
+assign usbtestsoc_usbtestsoc_zero_trigger = (usbtestsoc_usbtestsoc_value != 1'd0);
+assign usbtestsoc_usbtestsoc_eventmanager_status_w = usbtestsoc_usbtestsoc_zero_status;
+always @(*) begin
+ usbtestsoc_usbtestsoc_zero_clear <= 1'd0;
+ if ((usbtestsoc_usbtestsoc_eventmanager_pending_re & usbtestsoc_usbtestsoc_eventmanager_pending_r)) begin
+ usbtestsoc_usbtestsoc_zero_clear <= 1'd1;
+ end
+end
+assign usbtestsoc_usbtestsoc_eventmanager_pending_w = usbtestsoc_usbtestsoc_zero_pending;
+assign usbtestsoc_usbtestsoc_irq = (usbtestsoc_usbtestsoc_eventmanager_pending_w & usbtestsoc_usbtestsoc_eventmanager_storage);
+assign usbtestsoc_usbtestsoc_zero_status = usbtestsoc_usbtestsoc_zero_trigger;
+assign sys_clk = clk16;
+assign por_clk = sys_clk;
+assign sys_rst = (usbtestsoc_crg_reset_delay != 1'd0);
+assign usbtestsoc_status = 160'd1256218714774539849041605386092237821690702622496;
+assign usbtestsoc_platform_status = 63'd8388357248643000161;
+assign usbtestsoc_target_status = 63'd8463216376817087488;
+assign spiflash_wp = 1'd1;
+assign spiflash_hold = 1'd1;
+assign usbtestsoc_spiflash_bus_dat_r = usbtestsoc_spiflash_sr;
+always @(*) begin
+ usbtestsoc_spiflash_miso_status <= 1'd0;
+ spiflash_mosi <= 1'd0;
+ spiflash_cs_n <= 1'd0;
+ spiflash_clk <= 1'd0;
+ if (usbtestsoc_spiflash_bitbang_en_storage) begin
+ spiflash_clk <= usbtestsoc_spiflash_bitbang_storage[1];
+ spiflash_cs_n <= usbtestsoc_spiflash_bitbang_storage[2];
+ if (usbtestsoc_spiflash_bitbang_storage[1]) begin
+ usbtestsoc_spiflash_miso_status <= spiflash_miso;
+ end
+ spiflash_mosi <= usbtestsoc_spiflash_bitbang_storage[0];
+ end else begin
+ spiflash_clk <= usbtestsoc_spiflash_clk1;
+ spiflash_cs_n <= usbtestsoc_spiflash_cs_n1;
+ spiflash_mosi <= usbtestsoc_spiflash_sr[31];
+ end
+end
+assign uartwishbonebridge_reset = uartwishbonebridge_done;
+assign uartwishbonebridge_source_ready = 1'd1;
+assign uartwishbonebridge_wishbone_adr = (uartwishbonebridge_address + uartwishbonebridge_word_counter);
+assign uartwishbonebridge_wishbone_dat_w = uartwishbonebridge_data;
+assign uartwishbonebridge_wishbone_sel = 4'd15;
+always @(*) begin
+ uartwishbonebridge_sink_payload_data <= 8'd0;
+ case (uartwishbonebridge_byte_counter)
+ 1'd0: begin
+ uartwishbonebridge_sink_payload_data <= uartwishbonebridge_data[31:24];
+ end
+ 1'd1: begin
+ uartwishbonebridge_sink_payload_data <= uartwishbonebridge_data[23:16];
+ end
+ 2'd2: begin
+ uartwishbonebridge_sink_payload_data <= uartwishbonebridge_data[15:8];
+ end
+ default: begin
+ uartwishbonebridge_sink_payload_data <= uartwishbonebridge_data[7:0];
+ end
+ endcase
+end
+assign uartwishbonebridge_wait = (~uartwishbonebridge_is_ongoing);
+assign uartwishbonebridge_sink_last = ((uartwishbonebridge_byte_counter == 2'd3) & (uartwishbonebridge_word_counter == (uartwishbonebridge_length - 1'd1)));
+always @(*) begin
+ uartwishbonebridge_wishbone_we <= 1'd0;
+ uartwishbonebridge_byte_counter_reset <= 1'd0;
+ uartwishbonebridge_byte_counter_ce <= 1'd0;
+ uartwishbonebridge_word_counter_reset <= 1'd0;
+ uartwishbonebridge_sink_valid <= 1'd0;
+ uartwishbonebridge_word_counter_ce <= 1'd0;
+ uartwishbonebridge_cmd_ce <= 1'd0;
+ uartwishbonebridge_next_state <= 3'd0;
+ uartwishbonebridge_length_ce <= 1'd0;
+ uartwishbonebridge_address_ce <= 1'd0;
+ uartwishbonebridge_rx_data_ce <= 1'd0;
+ uartwishbonebridge_tx_data_ce <= 1'd0;
+ uartwishbonebridge_is_ongoing <= 1'd0;
+ uartwishbonebridge_wishbone_cyc <= 1'd0;
+ uartwishbonebridge_wishbone_stb <= 1'd0;
+ uartwishbonebridge_next_state <= uartwishbonebridge_state;
+ case (uartwishbonebridge_state)
+ 1'd1: begin
+ if (uartwishbonebridge_source_valid) begin
+ uartwishbonebridge_length_ce <= 1'd1;
+ uartwishbonebridge_next_state <= 2'd2;
+ end
+ end
+ 2'd2: begin
+ if (uartwishbonebridge_source_valid) begin
+ uartwishbonebridge_address_ce <= 1'd1;
+ uartwishbonebridge_byte_counter_ce <= 1'd1;
+ if ((uartwishbonebridge_byte_counter == 2'd3)) begin
+ if ((uartwishbonebridge_cmd == 1'd1)) begin
+ uartwishbonebridge_next_state <= 2'd3;
+ end else begin
+ if ((uartwishbonebridge_cmd == 2'd2)) begin
+ uartwishbonebridge_next_state <= 3'd5;
+ end
+ end
+ uartwishbonebridge_byte_counter_reset <= 1'd1;
+ end
+ end
+ end
+ 2'd3: begin
+ if (uartwishbonebridge_source_valid) begin
+ uartwishbonebridge_rx_data_ce <= 1'd1;
+ uartwishbonebridge_byte_counter_ce <= 1'd1;
+ if ((uartwishbonebridge_byte_counter == 2'd3)) begin
+ uartwishbonebridge_next_state <= 3'd4;
+ uartwishbonebridge_byte_counter_reset <= 1'd1;
+ end
+ end
+ end
+ 3'd4: begin
+ uartwishbonebridge_wishbone_stb <= 1'd1;
+ uartwishbonebridge_wishbone_we <= 1'd1;
+ uartwishbonebridge_wishbone_cyc <= 1'd1;
+ if (uartwishbonebridge_wishbone_ack) begin
+ uartwishbonebridge_word_counter_ce <= 1'd1;
+ if ((uartwishbonebridge_word_counter == (uartwishbonebridge_length - 1'd1))) begin
+ uartwishbonebridge_next_state <= 1'd0;
+ end else begin
+ uartwishbonebridge_next_state <= 2'd3;
+ end
+ end
+ end
+ 3'd5: begin
+ uartwishbonebridge_wishbone_stb <= 1'd1;
+ uartwishbonebridge_wishbone_we <= 1'd0;
+ uartwishbonebridge_wishbone_cyc <= 1'd1;
+ if (uartwishbonebridge_wishbone_ack) begin
+ uartwishbonebridge_tx_data_ce <= 1'd1;
+ uartwishbonebridge_next_state <= 3'd6;
+ end
+ end
+ 3'd6: begin
+ uartwishbonebridge_sink_valid <= 1'd1;
+ if (uartwishbonebridge_sink_ready) begin
+ uartwishbonebridge_byte_counter_ce <= 1'd1;
+ if ((uartwishbonebridge_byte_counter == 2'd3)) begin
+ uartwishbonebridge_word_counter_ce <= 1'd1;
+ if ((uartwishbonebridge_word_counter == (uartwishbonebridge_length - 1'd1))) begin
+ uartwishbonebridge_next_state <= 1'd0;
+ end else begin
+ uartwishbonebridge_next_state <= 3'd5;
+ uartwishbonebridge_byte_counter_reset <= 1'd1;
+ end
+ end
+ end
+ end
+ default: begin
+ if (uartwishbonebridge_source_valid) begin
+ uartwishbonebridge_cmd_ce <= 1'd1;
+ if (((uartwishbonebridge_source_payload_data == 1'd1) | (uartwishbonebridge_source_payload_data == 2'd2))) begin
+ uartwishbonebridge_next_state <= 1'd1;
+ end
+ uartwishbonebridge_byte_counter_reset <= 1'd1;
+ uartwishbonebridge_word_counter_reset <= 1'd1;
+ end
+ uartwishbonebridge_is_ongoing <= 1'd1;
+ end
+ endcase
+end
+assign uartwishbonebridge_done = (uartwishbonebridge_count == 1'd0);
+always @(*) begin
+ usbdevice_usb_p_rx <= 1'd0;
+ usbdevice_usb_n_rx <= 1'd0;
+ if (usbdevice_usb_tx_en) begin
+ usbdevice_usb_p_rx <= 1'd1;
+ usbdevice_usb_n_rx <= 1'd0;
+ end else begin
+ usbdevice_usb_p_rx <= usbdevice_usb_p_rx_io;
+ usbdevice_usb_n_rx <= usbdevice_usb_n_rx_io;
+ end
+end
+assign usbdevice_rx_addr = usbdevice_usbfsrx_o_pkt_token_payload[10:4];
+assign usbdevice_rx_endp = usbdevice_usbfsrx_o_pkt_token_payload[3:0];
+assign usbdevice_usb_tx_en = usbdevice_usbfstx_o_oe;
+assign usbdevice_usb_p_tx = usbdevice_usbfstx_o_usbp;
+assign usbdevice_usb_n_tx = usbdevice_usbfstx_o_usbn;
+assign usbdevice_tx_pkt_end = usbdevice_usbfstx_o_pkt_end;
+assign usbdevice_tx_data_get = usbdevice_usbfstx_o_data_get;
+assign usbdevice_valid_request_token_pre = (usbdevice_usbfsrx_o_pkt_good == 1'd1);
+assign usbdevice_valid_out_token = (usbdevice_valid_request_token & (usbdevice_current_token == 4'd13));
+assign usbdevice_valid_data_packet = (usbdevice_usbfsrx_o_pkt_good == 1'd1);
+assign usbdevice_valid_in_token = ((usbdevice_valid_request_token & usbdevice_current_token) == 4'd9);
+assign usbdevice_valid_ack_packet = (usbdevice_usbfsrx_o_pkt_good == 1'd1);
+assign usbdevice_usbfsrx_dpair = {usbdevice_usbfsrx_usbp, usbdevice_usbfsrx_usbn};
+always @(*) begin
+ rxclockdatarecovery_next_state <= 3'd0;
+ usbdevice_usbfsrx_line_state_dt <= 1'd0;
+ usbdevice_usbfsrx_line_state_dj0 <= 1'd0;
+ usbdevice_usbfsrx_line_state_dk0 <= 1'd0;
+ usbdevice_usbfsrx_line_state_se00 <= 1'd0;
+ usbdevice_usbfsrx_line_state_se10 <= 1'd0;
+ rxclockdatarecovery_next_state <= rxclockdatarecovery_state;
+ case (rxclockdatarecovery_state)
+ 1'd1: begin
+ usbdevice_usbfsrx_line_state_dj0 <= 1'd1;
+ if ((usbdevice_usbfsrx_dpair != 2'd2)) begin
+ rxclockdatarecovery_next_state <= 1'd0;
+ end
+ end
+ 2'd2: begin
+ usbdevice_usbfsrx_line_state_dk0 <= 1'd1;
+ if ((usbdevice_usbfsrx_dpair != 1'd1)) begin
+ rxclockdatarecovery_next_state <= 1'd0;
+ end
+ end
+ 2'd3: begin
+ usbdevice_usbfsrx_line_state_se00 <= 1'd1;
+ if ((usbdevice_usbfsrx_dpair != 1'd0)) begin
+ rxclockdatarecovery_next_state <= 1'd0;
+ end
+ end
+ 3'd4: begin
+ usbdevice_usbfsrx_line_state_se10 <= 1'd1;
+ if ((usbdevice_usbfsrx_dpair != 2'd3)) begin
+ rxclockdatarecovery_next_state <= 1'd0;
+ end
+ end
+ default: begin
+ usbdevice_usbfsrx_line_state_dt <= 1'd1;
+ case (usbdevice_usbfsrx_dpair)
+ 1'd0: begin
+ rxclockdatarecovery_next_state <= 2'd3;
+ end
+ 1'd1: begin
+ rxclockdatarecovery_next_state <= 2'd2;
+ end
+ 2'd2: begin
+ rxclockdatarecovery_next_state <= 1'd1;
+ end
+ 2'd3: begin
+ rxclockdatarecovery_next_state <= 3'd4;
+ end
+ endcase
+ end
+ endcase
+end
+always @(*) begin
+ usbdevice_usbfsrx_nrzi_o_valid0 <= 1'd0;
+ usbdevice_usbfsrx_nrzi_o_data0 <= 1'd0;
+ rxnrzidecoder_next_state <= 1'd0;
+ rxnrzidecoder_next_state <= rxnrzidecoder_state;
+ case (rxnrzidecoder_state)
+ 1'd1: begin
+ if (usbdevice_usbfsrx_line_state_valid) begin
+ usbdevice_usbfsrx_nrzi_o_valid0 <= 1'd1;
+ if (usbdevice_usbfsrx_line_state_dj1) begin
+ usbdevice_usbfsrx_nrzi_o_data0 <= 1'd0;
+ rxnrzidecoder_next_state <= 1'd0;
+ end else begin
+ if (usbdevice_usbfsrx_line_state_dk1) begin
+ usbdevice_usbfsrx_nrzi_o_data0 <= 1'd1;
+ end
+ end
+ end
+ end
+ default: begin
+ if (usbdevice_usbfsrx_line_state_valid) begin
+ usbdevice_usbfsrx_nrzi_o_valid0 <= 1'd1;
+ if (usbdevice_usbfsrx_line_state_dj1) begin
+ usbdevice_usbfsrx_nrzi_o_data0 <= 1'd1;
+ end else begin
+ if (usbdevice_usbfsrx_line_state_dk1) begin
+ usbdevice_usbfsrx_nrzi_o_data0 <= 1'd0;
+ rxnrzidecoder_next_state <= 1'd1;
+ end
+ end
+ end
+ end
+ endcase
+end
+always @(*) begin
+ usbdevice_usbfsrx_bitstuff_drop_bit <= 1'd0;
+ rxbitstuffremover_next_state <= 3'd0;
+ rxbitstuffremover_next_state <= rxbitstuffremover_state;
+ case (rxbitstuffremover_state)
+ 1'd1: begin
+ if (usbdevice_usbfsrx_nrzi_o_valid1) begin
+ if (usbdevice_usbfsrx_nrzi_o_data1) begin
+ rxbitstuffremover_next_state <= 2'd2;
+ end else begin
+ rxbitstuffremover_next_state <= 1'd0;
+ end
+ end
+ end
+ 2'd2: begin
+ if (usbdevice_usbfsrx_nrzi_o_valid1) begin
+ if (usbdevice_usbfsrx_nrzi_o_data1) begin
+ rxbitstuffremover_next_state <= 2'd3;
+ end else begin
+ rxbitstuffremover_next_state <= 1'd0;
+ end
+ end
+ end
+ 2'd3: begin
+ if (usbdevice_usbfsrx_nrzi_o_valid1) begin
+ if (usbdevice_usbfsrx_nrzi_o_data1) begin
+ rxbitstuffremover_next_state <= 3'd4;
+ end else begin
+ rxbitstuffremover_next_state <= 1'd0;
+ end
+ end
+ end
+ 3'd4: begin
+ if (usbdevice_usbfsrx_nrzi_o_valid1) begin
+ if (usbdevice_usbfsrx_nrzi_o_data1) begin
+ rxbitstuffremover_next_state <= 3'd5;
+ end else begin
+ rxbitstuffremover_next_state <= 1'd0;
+ end
+ end
+ end
+ 3'd5: begin
+ if (usbdevice_usbfsrx_nrzi_o_valid1) begin
+ if (usbdevice_usbfsrx_nrzi_o_data1) begin
+ rxbitstuffremover_next_state <= 3'd6;
+ end else begin
+ rxbitstuffremover_next_state <= 1'd0;
+ end
+ end
+ end
+ 3'd6: begin
+ usbdevice_usbfsrx_bitstuff_drop_bit <= 1'd1;
+ if (usbdevice_usbfsrx_nrzi_o_valid1) begin
+ rxbitstuffremover_next_state <= 1'd0;
+ end
+ end
+ default: begin
+ if (usbdevice_usbfsrx_nrzi_o_valid1) begin
+ if (usbdevice_usbfsrx_nrzi_o_data1) begin
+ rxbitstuffremover_next_state <= 1'd1;
+ end else begin
+ rxbitstuffremover_next_state <= 1'd0;
+ end
+ end
+ end
+ endcase
+end
+assign usbdevice_usbfsrx_data_put = usbdevice_usbfsrx_data_shifter_o_full;
+always @(*) begin
+ rxpacketdecode_next_state <= 3'd0;
+ usbdevice_usbfsrx_pkt_start <= 1'd0;
+ usbdevice_usbfsrx_pkt_active0 <= 1'd0;
+ usbdevice_usbfsrx_pkt_end0 <= 1'd0;
+ rxpacketdecode_next_state <= rxpacketdecode_state;
+ case (rxpacketdecode_state)
+ 1'd1: begin
+ if (usbdevice_usbfsrx_bitstuff_o_valid) begin
+ if ((usbdevice_usbfsrx_bitstuff_o_data | usbdevice_usbfsrx_bitstuff_o_se0)) begin
+ rxpacketdecode_next_state <= 1'd0;
+ end else begin
+ rxpacketdecode_next_state <= 2'd2;
+ end
+ end
+ end
+ 2'd2: begin
+ if (usbdevice_usbfsrx_bitstuff_o_valid) begin
+ if ((usbdevice_usbfsrx_bitstuff_o_data | usbdevice_usbfsrx_bitstuff_o_se0)) begin
+ rxpacketdecode_next_state <= 1'd0;
+ end else begin
+ rxpacketdecode_next_state <= 2'd3;
+ end
+ end
+ end
+ 2'd3: begin
+ if (usbdevice_usbfsrx_bitstuff_o_valid) begin
+ if ((usbdevice_usbfsrx_bitstuff_o_data | usbdevice_usbfsrx_bitstuff_o_se0)) begin
+ rxpacketdecode_next_state <= 1'd0;
+ end else begin
+ rxpacketdecode_next_state <= 3'd4;
+ end
+ end
+ end
+ 3'd4: begin
+ if (usbdevice_usbfsrx_bitstuff_o_valid) begin
+ if ((usbdevice_usbfsrx_bitstuff_o_data | usbdevice_usbfsrx_bitstuff_o_se0)) begin
+ rxpacketdecode_next_state <= 1'd0;
+ end else begin
+ rxpacketdecode_next_state <= 3'd5;
+ end
+ end
+ end
+ 3'd5: begin
+ if (usbdevice_usbfsrx_bitstuff_o_valid) begin
+ if (usbdevice_usbfsrx_bitstuff_o_data) begin
+ usbdevice_usbfsrx_pkt_start <= 1'd1;
+ usbdevice_usbfsrx_pkt_active0 <= 1'd1;
+ rxpacketdecode_next_state <= 3'd6;
+ end
+ end
+ end
+ 3'd6: begin
+ usbdevice_usbfsrx_pkt_active0 <= 1'd1;
+ if (usbdevice_usbfsrx_bitstuff_o_valid) begin
+ if (usbdevice_usbfsrx_bitstuff_o_se0) begin
+ usbdevice_usbfsrx_pkt_end0 <= 1'd1;
+ usbdevice_usbfsrx_pkt_active0 <= 1'd0;
+ rxpacketdecode_next_state <= 1'd0;
+ end
+ end
+ end
+ default: begin
+ if (usbdevice_usbfsrx_bitstuff_o_valid) begin
+ if ((usbdevice_usbfsrx_bitstuff_o_data | usbdevice_usbfsrx_bitstuff_o_se0)) begin
+ rxpacketdecode_next_state <= 1'd0;
+ end else begin
+ rxpacketdecode_next_state <= 1'd1;
+ end
+ end
+ end
+ endcase
+end
+assign usbdevice_usbfsrx_pid_shifter_o_full = usbdevice_usbfsrx_pid_shifter_shift_reg[0];
+assign usbdevice_usbfsrx_pid_shifter_o_output = usbdevice_usbfsrx_pid_shifter_shift_reg[8:1];
+assign usbdevice_usbfsrx_tok_shifter_o_full = usbdevice_usbfsrx_tok_shifter_shift_reg[0];
+assign usbdevice_usbfsrx_tok_shifter_o_output = usbdevice_usbfsrx_tok_shifter_shift_reg[16:1];
+assign usbdevice_usbfsrx_tok_crc5_crc_good = (usbdevice_usbfsrx_tok_crc5_crc == 4'd12);
+assign usbdevice_usbfsrx_tok_crc5_crc_invert = (usbdevice_usbfsrx_data ^ usbdevice_usbfsrx_tok_crc5_crc[4]);
+assign usbdevice_usbfsrx_data_shifter_o_full = usbdevice_usbfsrx_data_shifter_shift_reg[0];
+assign usbdevice_usbfsrx_data_shifter_o_output = usbdevice_usbfsrx_data_shifter_shift_reg[8:1];
+assign usbdevice_usbfsrx_data_crc16_crc_good = (usbdevice_usbfsrx_data_crc16_crc == 16'd32781);
+assign usbdevice_usbfsrx_data_crc16_crc_invert = (usbdevice_usbfsrx_data ^ usbdevice_usbfsrx_data_crc16_crc[15]);
+assign usbdevice_usbfstx_bitstuff_stall = usbdevice_usbfstx_bitstuffer_o_stall;
+always @(*) begin
+ usbdevice_usbfstx_pkt_active <= 1'd0;
+ usbdevice_usbfstx_shift_sync <= 1'd0;
+ usbdevice_usbfstx_shift_pid <= 1'd0;
+ usbdevice_usbfstx_shift_eop <= 1'd0;
+ usbdevice_usbfstx_load_data <= 1'd0;
+ usbdevice_usbfstx_shift_data <= 1'd0;
+ usbdevice_usbfstx_load_crc16 <= 1'd0;
+ usbdevice_usbfstx_shift_crc16 <= 1'd0;
+ usbdevice_usbfstx_pkt_end <= 1'd0;
+ fsm_next_state <= 3'd0;
+ fsm_next_state <= fsm_state;
+ case (fsm_state)
+ 1'd1: begin
+ usbdevice_usbfstx_pkt_active <= 1'd1;
+ usbdevice_usbfstx_shift_sync <= 1'd1;
+ if (usbdevice_usbfstx_sync_shifter_o_empty) begin
+ fsm_next_state <= 2'd2;
+ end
+ end
+ 2'd2: begin
+ usbdevice_usbfstx_pkt_active <= 1'd1;
+ usbdevice_usbfstx_shift_pid <= 1'd1;
+ if (usbdevice_usbfstx_pid_shifter_o_empty) begin
+ if (usbdevice_usbfstx_pid_is_data) begin
+ if (usbdevice_tx_data_avail) begin
+ usbdevice_usbfstx_load_data <= 1'd1;
+ fsm_next_state <= 2'd3;
+ end else begin
+ usbdevice_usbfstx_load_crc16 <= 1'd1;
+ fsm_next_state <= 3'd4;
+ end
+ end else begin
+ fsm_next_state <= 3'd5;
+ end
+ end
+ end
+ 2'd3: begin
+ usbdevice_usbfstx_pkt_active <= 1'd1;
+ usbdevice_usbfstx_shift_data <= 1'd1;
+ if (usbdevice_usbfstx_data_shifter_o_empty) begin
+ if (usbdevice_tx_data_avail) begin
+ usbdevice_usbfstx_load_data <= 1'd1;
+ end else begin
+ usbdevice_usbfstx_load_crc16 <= 1'd1;
+ fsm_next_state <= 3'd4;
+ end
+ end
+ end
+ 3'd4: begin
+ usbdevice_usbfstx_pkt_active <= 1'd1;
+ usbdevice_usbfstx_shift_crc16 <= 1'd1;
+ if (usbdevice_usbfstx_crc16_shifter_o_empty) begin
+ fsm_next_state <= 3'd5;
+ end
+ end
+ 3'd5: begin
+ usbdevice_usbfstx_pkt_active <= 1'd1;
+ usbdevice_usbfstx_shift_eop <= 1'd1;
+ if (usbdevice_usbfsrx_line_state_valid) begin
+ fsm_next_state <= 3'd6;
+ end
+ end
+ 3'd6: begin
+ usbdevice_usbfstx_pkt_active <= 1'd1;
+ usbdevice_usbfstx_shift_eop <= 1'd1;
+ usbdevice_usbfstx_pkt_end <= 1'd1;
+ if (usbdevice_usbfsrx_line_state_valid) begin
+ fsm_next_state <= 1'd0;
+ end
+ end
+ default: begin
+ if (usbdevice_tx_pkt_start) begin
+ fsm_next_state <= 1'd1;
+ end
+ end
+ endcase
+end
+assign usbdevice_usbfstx_sync_shifter_o_data = usbdevice_usbfstx_sync_shifter_shifter[0];
+assign usbdevice_usbfstx_sync_shifter_o_empty = (~usbdevice_usbfstx_sync_shifter_not_empty);
+assign usbdevice_usbfstx_pid_shifter_o_data = usbdevice_usbfstx_pid_shifter_shifter[0];
+assign usbdevice_usbfstx_pid_shifter_o_empty = (~usbdevice_usbfstx_pid_shifter_not_empty);
+assign usbdevice_usbfstx_data_shifter_o_data = usbdevice_usbfstx_data_shifter_shifter[0];
+assign usbdevice_usbfstx_data_shifter_o_empty = (~usbdevice_usbfstx_data_shifter_not_empty);
+assign usbdevice_usbfstx_crc_invert = (usbdevice_usbfstx_data_shifter_o_data ^ usbdevice_usbfstx_crc[15]);
+always @(*) begin
+ usbdevice_usbfstx_o_crc <= 16'd0;
+ usbdevice_usbfstx_o_crc[0] <= (1'd1 ^ usbdevice_usbfstx_crc[15]);
+ usbdevice_usbfstx_o_crc[1] <= (1'd1 ^ usbdevice_usbfstx_crc[14]);
+ usbdevice_usbfstx_o_crc[2] <= (1'd1 ^ usbdevice_usbfstx_crc[13]);
+ usbdevice_usbfstx_o_crc[3] <= (1'd1 ^ usbdevice_usbfstx_crc[12]);
+ usbdevice_usbfstx_o_crc[4] <= (1'd1 ^ usbdevice_usbfstx_crc[11]);
+ usbdevice_usbfstx_o_crc[5] <= (1'd1 ^ usbdevice_usbfstx_crc[10]);
+ usbdevice_usbfstx_o_crc[6] <= (1'd1 ^ usbdevice_usbfstx_crc[9]);
+ usbdevice_usbfstx_o_crc[7] <= (1'd1 ^ usbdevice_usbfstx_crc[8]);
+ usbdevice_usbfstx_o_crc[8] <= (1'd1 ^ usbdevice_usbfstx_crc[7]);
+ usbdevice_usbfstx_o_crc[9] <= (1'd1 ^ usbdevice_usbfstx_crc[6]);
+ usbdevice_usbfstx_o_crc[10] <= (1'd1 ^ usbdevice_usbfstx_crc[5]);
+ usbdevice_usbfstx_o_crc[11] <= (1'd1 ^ usbdevice_usbfstx_crc[4]);
+ usbdevice_usbfstx_o_crc[12] <= (1'd1 ^ usbdevice_usbfstx_crc[3]);
+ usbdevice_usbfstx_o_crc[13] <= (1'd1 ^ usbdevice_usbfstx_crc[2]);
+ usbdevice_usbfstx_o_crc[14] <= (1'd1 ^ usbdevice_usbfstx_crc[1]);
+ usbdevice_usbfstx_o_crc[15] <= (1'd1 ^ usbdevice_usbfstx_crc[0]);
+end
+assign usbdevice_usbfstx_crc16_shifter_o_data = usbdevice_usbfstx_crc16_shifter_shifter[0];
+assign usbdevice_usbfstx_crc16_shifter_o_empty = (~usbdevice_usbfstx_crc16_shifter_not_empty);
+assign usbdevice_usbfstx_bitstuffer_o_stall = usbdevice_usbfstx_bitstuffer_stuff_bit;
+always @(*) begin
+ txbitstuffer_next_state <= 3'd0;
+ usbdevice_usbfstx_bitstuffer_stuff_bit <= 1'd0;
+ txbitstuffer_next_state <= txbitstuffer_state;
+ case (txbitstuffer_state)
+ 1'd1: begin
+ if (usbdevice_usbfstx_mux_stuff_bit_strobe) begin
+ if (usbdevice_usbfstx_mux_stuff_data) begin
+ txbitstuffer_next_state <= 2'd2;
+ end else begin
+ txbitstuffer_next_state <= 1'd0;
+ end
+ end
+ end
+ 2'd2: begin
+ if (usbdevice_usbfstx_mux_stuff_bit_strobe) begin
+ if (usbdevice_usbfstx_mux_stuff_data) begin
+ txbitstuffer_next_state <= 2'd3;
+ end else begin
+ txbitstuffer_next_state <= 1'd0;
+ end
+ end
+ end
+ 2'd3: begin
+ if (usbdevice_usbfstx_mux_stuff_bit_strobe) begin
+ if (usbdevice_usbfstx_mux_stuff_data) begin
+ txbitstuffer_next_state <= 3'd4;
+ end else begin
+ txbitstuffer_next_state <= 1'd0;
+ end
+ end
+ end
+ 3'd4: begin
+ if (usbdevice_usbfstx_mux_stuff_bit_strobe) begin
+ if (usbdevice_usbfstx_mux_stuff_data) begin
+ txbitstuffer_next_state <= 3'd5;
+ end else begin
+ txbitstuffer_next_state <= 1'd0;
+ end
+ end
+ end
+ 3'd5: begin
+ if (usbdevice_usbfstx_mux_stuff_bit_strobe) begin
+ if (usbdevice_usbfstx_mux_stuff_data) begin
+ txbitstuffer_next_state <= 3'd6;
+ end else begin
+ txbitstuffer_next_state <= 1'd0;
+ end
+ end
+ end
+ 3'd6: begin
+ usbdevice_usbfstx_bitstuffer_stuff_bit <= 1'd1;
+ if (usbdevice_usbfstx_mux_stuff_bit_strobe) begin
+ txbitstuffer_next_state <= 1'd0;
+ end
+ end
+ default: begin
+ if (usbdevice_usbfstx_mux_stuff_bit_strobe) begin
+ if (usbdevice_usbfstx_mux_stuff_data) begin
+ txbitstuffer_next_state <= 1'd1;
+ end else begin
+ txbitstuffer_next_state <= 1'd0;
+ end
+ end
+ end
+ endcase
+end
+always @(*) begin
+ usbdevice_usbfstx_nrzi_usbn <= 1'd0;
+ usbdevice_usbfstx_nrzi_oe <= 1'd0;
+ txnrziencoder_next_state <= 3'd0;
+ usbdevice_usbfstx_nrzi_usbp <= 1'd0;
+ txnrziencoder_next_state <= txnrziencoder_state;
+ case (txnrziencoder_state)
+ 1'd1: begin
+ usbdevice_usbfstx_nrzi_usbp <= 1'd1;
+ usbdevice_usbfstx_nrzi_usbn <= 1'd0;
+ usbdevice_usbfstx_nrzi_oe <= 1'd1;
+ if (usbdevice_usbfstx_mux_stuff_bit_strobe) begin
+ if (usbdevice_usbfstx_bitstuffer_o_se0) begin
+ txnrziencoder_next_state <= 2'd3;
+ end else begin
+ if (usbdevice_usbfstx_bitstuffer_o_data) begin
+ txnrziencoder_next_state <= 1'd1;
+ end else begin
+ txnrziencoder_next_state <= 2'd2;
+ end
+ end
+ end
+ end
+ 2'd2: begin
+ usbdevice_usbfstx_nrzi_usbp <= 1'd0;
+ usbdevice_usbfstx_nrzi_usbn <= 1'd1;
+ usbdevice_usbfstx_nrzi_oe <= 1'd1;
+ if (usbdevice_usbfstx_mux_stuff_bit_strobe) begin
+ if (usbdevice_usbfstx_bitstuffer_o_se0) begin
+ txnrziencoder_next_state <= 2'd3;
+ end else begin
+ if (usbdevice_usbfstx_bitstuffer_o_data) begin
+ txnrziencoder_next_state <= 2'd2;
+ end else begin
+ txnrziencoder_next_state <= 1'd1;
+ end
+ end
+ end
+ end
+ 2'd3: begin
+ usbdevice_usbfstx_nrzi_usbp <= 1'd0;
+ usbdevice_usbfstx_nrzi_usbn <= 1'd0;
+ usbdevice_usbfstx_nrzi_oe <= 1'd1;
+ if (usbdevice_usbfstx_mux_stuff_bit_strobe) begin
+ if (usbdevice_usbfstx_bitstuffer_o_se0) begin
+ txnrziencoder_next_state <= 2'd3;
+ end else begin
+ txnrziencoder_next_state <= 3'd4;
+ end
+ end
+ end
+ 3'd4: begin
+ usbdevice_usbfstx_nrzi_usbp <= 1'd1;
+ usbdevice_usbfstx_nrzi_usbn <= 1'd0;
+ usbdevice_usbfstx_nrzi_oe <= 1'd1;
+ if (usbdevice_usbfstx_mux_stuff_bit_strobe) begin
+ txnrziencoder_next_state <= 1'd0;
+ end
+ end
+ default: begin
+ usbdevice_usbfstx_nrzi_usbp <= 1'd1;
+ usbdevice_usbfstx_nrzi_usbn <= 1'd0;
+ usbdevice_usbfstx_nrzi_oe <= 1'd0;
+ if (usbdevice_usbfstx_mux_stuff_bit_strobe) begin
+ if (usbdevice_usbfstx_bitstuffer_o_oe) begin
+ txnrziencoder_next_state <= 2'd2;
+ end
+ end
+ end
+ endcase
+end
+always @(*) begin
+ usbdevice_out_tx_pkt_start <= 1'd0;
+ usbdevice_out_tx_pid <= 4'd0;
+ fsm0_next_state <= 3'd0;
+ usbdevice_o_out_commit <= 1'd0;
+ usbdevice_o_out_rollback <= 1'd0;
+ fsm0_next_state <= fsm0_state;
+ case (fsm0_state)
+ 1'd1: begin
+ if (usbdevice_usbfsrx_o_pkt_end1) begin
+ if (usbdevice_valid_data_packet) begin
+ fsm0_next_state <= 2'd2;
+ end else begin
+ fsm0_next_state <= 3'd5;
+ end
+ fsm0_next_state <= 1'd0;
+ end
+ end
+ 2'd2: begin
+ usbdevice_out_tx_pid <= 2'd2;
+ usbdevice_out_tx_pkt_start <= 1'd1;
+ usbdevice_o_out_commit <= 1'd1;
+ fsm0_next_state <= 1'd0;
+ end
+ 2'd3: begin
+ if (usbdevice_usbfsrx_o_pkt_end1) begin
+ if (usbdevice_valid_data_packet) begin
+ fsm0_next_state <= 3'd4;
+ end else begin
+ fsm0_next_state <= 3'd5;
+ end
+ end
+ end
+ 3'd4: begin
+ usbdevice_out_tx_pid <= 4'd10;
+ usbdevice_out_tx_pkt_start <= 1'd1;
+ fsm0_next_state <= 3'd5;
+ end
+ 3'd5: begin
+ usbdevice_o_out_rollback <= 1'd1;
+ fsm0_next_state <= 1'd0;
+ end
+ default: begin
+ if ((usbdevice_usbfsrx_o_pkt_end1 & usbdevice_valid_out_token)) begin
+ if (t_array_muxed) begin
+ fsm0_next_state <= 1'd1;
+ end else begin
+ fsm0_next_state <= 2'd3;
+ end
+ end
+ end
+ endcase
+end
+always @(*) begin
+ usbdevice_in_tx_pkt_start <= 1'd0;
+ fsm1_next_state <= 3'd0;
+ usbdevice_in_tx_pid <= 4'd0;
+ usbdevice_o_in_commit <= 1'd0;
+ usbdevice_o_in_rollback <= 1'd0;
+ fsm1_next_state <= fsm1_state;
+ case (fsm1_state)
+ 1'd1: begin
+ if (basiclowerer_array_muxed) begin
+ fsm1_next_state <= 2'd2;
+ end else begin
+ fsm1_next_state <= 2'd3;
+ end
+ end
+ 2'd2: begin
+ usbdevice_in_tx_pid <= (rhs_array_muxed ? 4'd11 : 2'd3);
+ usbdevice_in_tx_pkt_start <= 1'd1;
+ fsm1_next_state <= 3'd4;
+ end
+ 2'd3: begin
+ usbdevice_in_tx_pid <= 4'd10;
+ usbdevice_in_tx_pkt_start <= 1'd1;
+ fsm1_next_state <= 1'd0;
+ end
+ 3'd4: begin
+ if (usbdevice_usbfsrx_o_pkt_end1) begin
+ if (usbdevice_valid_ack_packet) begin
+ usbdevice_o_in_commit <= 1'd1;
+ fsm1_next_state <= 1'd0;
+ end else begin
+ if (usbdevice_valid_in_token) begin
+ usbdevice_o_in_rollback <= 1'd1;
+ fsm1_next_state <= 1'd1;
+ end else begin
+ usbdevice_o_in_rollback <= 1'd1;
+ fsm1_next_state <= 1'd0;
+ end
+ end
+ end
+ end
+ default: begin
+ if ((usbdevice_usbfsrx_o_pkt_end1 & usbdevice_valid_in_token)) begin
+ fsm1_next_state <= 1'd1;
+ end
+ end
+ endcase
+end
+assign io_output = io_storage;
+assign scope_clk = sys_clk;
+assign scope_rst = sys_rst;
+assign mux_valid = 1'd1;
+assign mux_payload_data = {usb_d_n, usb_d_p};
+always @(*) begin
+ mux_source_first <= 1'd0;
+ mux_source_last <= 1'd0;
+ mux_source_payload_data <= 2'd0;
+ mux_source_payload_hit <= 1'd0;
+ mux_ready <= 1'd0;
+ mux_source_valid <= 1'd0;
+ case (mux_value)
+ 1'd0: begin
+ mux_source_valid <= mux_valid;
+ mux_ready <= mux_source_ready;
+ mux_source_first <= mux_first;
+ mux_source_last <= mux_last;
+ mux_source_payload_data <= mux_payload_data;
+ mux_source_payload_hit <= mux_payload_hit;
+ end
+ endcase
+end
+assign trigger_mem_sink_valid = trigger_mem_write_re;
+assign trigger_mem_sink_payload_mask = trigger_mem_mask_storage;
+assign trigger_mem_sink_payload_value = trigger_mem_value_storage;
+assign trigger_mem_full_status = (~trigger_mem_sink_ready);
+assign trigger_wait = (~((~trigger_enable) & trigger_enable_d));
+assign trigger_hit = ((trigger_sink_sink_payload_data & trigger_mem_source_payload_mask) == trigger_mem_source_payload_value);
+assign trigger_mem_source_ready = (trigger_enable & (trigger_hit | (~trigger_done1)));
+assign trigger_source_source_valid = trigger_sink_sink_valid;
+assign trigger_sink_sink_ready = trigger_source_source_ready;
+assign trigger_source_source_first = trigger_sink_sink_first;
+assign trigger_source_source_last = trigger_sink_sink_last;
+assign trigger_source_source_payload_data = trigger_sink_sink_payload_data;
+assign trigger_done0 = (~trigger_mem_source_valid);
+always @(*) begin
+ trigger_source_source_payload_hit <= 1'd0;
+ trigger_source_source_payload_hit <= trigger_sink_sink_payload_hit;
+ trigger_source_source_payload_hit <= trigger_done0;
+end
+assign trigger_mem_asyncfifo_din = {trigger_mem_fifo_in_last, trigger_mem_fifo_in_first, trigger_mem_fifo_in_payload_value, trigger_mem_fifo_in_payload_mask};
+assign {trigger_mem_fifo_out_last, trigger_mem_fifo_out_first, trigger_mem_fifo_out_payload_value, trigger_mem_fifo_out_payload_mask} = trigger_mem_asyncfifo_dout;
+assign trigger_mem_sink_ready = trigger_mem_asyncfifo_writable;
+assign trigger_mem_asyncfifo_we = trigger_mem_sink_valid;
+assign trigger_mem_fifo_in_first = trigger_mem_sink_first;
+assign trigger_mem_fifo_in_last = trigger_mem_sink_last;
+assign trigger_mem_fifo_in_payload_mask = trigger_mem_sink_payload_mask;
+assign trigger_mem_fifo_in_payload_value = trigger_mem_sink_payload_value;
+assign trigger_mem_source_valid = trigger_mem_asyncfifo_readable;
+assign trigger_mem_source_first = trigger_mem_fifo_out_first;
+assign trigger_mem_source_last = trigger_mem_fifo_out_last;
+assign trigger_mem_source_payload_mask = trigger_mem_fifo_out_payload_mask;
+assign trigger_mem_source_payload_value = trigger_mem_fifo_out_payload_value;
+assign trigger_mem_asyncfifo_re = trigger_mem_source_ready;
+assign trigger_mem_graycounter0_ce = (trigger_mem_asyncfifo_writable & trigger_mem_asyncfifo_we);
+assign trigger_mem_graycounter1_ce = (trigger_mem_asyncfifo_readable & trigger_mem_asyncfifo_re);
+assign trigger_mem_asyncfifo_writable = (((trigger_mem_graycounter0_q[4] == trigger_mem_consume_wdomain[4]) | (trigger_mem_graycounter0_q[3] == trigger_mem_consume_wdomain[3])) | (trigger_mem_graycounter0_q[2:0] != trigger_mem_consume_wdomain[2:0]));
+assign trigger_mem_asyncfifo_readable = (trigger_mem_graycounter1_q != trigger_mem_produce_rdomain);
+assign trigger_mem_wrport_adr = trigger_mem_graycounter0_q_binary[3:0];
+assign trigger_mem_wrport_dat_w = trigger_mem_asyncfifo_din;
+assign trigger_mem_wrport_we = trigger_mem_graycounter0_ce;
+assign trigger_mem_rdport_adr = trigger_mem_graycounter1_q_next_binary[3:0];
+assign trigger_mem_asyncfifo_dout = trigger_mem_rdport_dat_r;
+always @(*) begin
+ trigger_mem_graycounter0_q_next_binary <= 5'd0;
+ if (trigger_mem_graycounter0_ce) begin
+ trigger_mem_graycounter0_q_next_binary <= (trigger_mem_graycounter0_q_binary + 1'd1);
+ end else begin
+ trigger_mem_graycounter0_q_next_binary <= trigger_mem_graycounter0_q_binary;
+ end
+end
+assign trigger_mem_graycounter0_q_next = (trigger_mem_graycounter0_q_next_binary ^ trigger_mem_graycounter0_q_next_binary[4:1]);
+always @(*) begin
+ trigger_mem_graycounter1_q_next_binary <= 5'd0;
+ if (trigger_mem_graycounter1_ce) begin
+ trigger_mem_graycounter1_q_next_binary <= (trigger_mem_graycounter1_q_binary + 1'd1);
+ end else begin
+ trigger_mem_graycounter1_q_next_binary <= trigger_mem_graycounter1_q_binary;
+ end
+end
+assign trigger_mem_graycounter1_q_next = (trigger_mem_graycounter1_q_next_binary ^ trigger_mem_graycounter1_q_next_binary[4:1]);
+assign trigger_done1 = (trigger_count == 1'd0);
+assign subsampler_done = (subsampler_counter == subsampler_value);
+assign subsampler_sink_ready = subsampler_source_ready;
+assign subsampler_source_first = subsampler_sink_first;
+assign subsampler_source_last = subsampler_sink_last;
+assign subsampler_source_payload_data = subsampler_sink_payload_data;
+assign subsampler_source_payload_hit = subsampler_sink_payload_hit;
+assign subsampler_source_valid = (subsampler_sink_valid & subsampler_done);
+assign storage_mem_valid_status = storage_cdc_source_valid;
+assign storage_cdc_source_ready = (storage_mem_ready_re | (~storage_enable_storage));
+assign storage_mem_data_status = storage_cdc_source_payload_data;
+assign storage_mem_syncfifo_din = {storage_mem_fifo_in_last, storage_mem_fifo_in_first, storage_mem_fifo_in_payload_data};
+assign {storage_mem_fifo_out_last, storage_mem_fifo_out_first, storage_mem_fifo_out_payload_data} = storage_mem_syncfifo_dout;
+assign storage_mem_sink_ready = storage_mem_syncfifo_writable;
+assign storage_mem_syncfifo_we = storage_mem_sink_valid;
+assign storage_mem_fifo_in_first = storage_mem_sink_first;
+assign storage_mem_fifo_in_last = storage_mem_sink_last;
+assign storage_mem_fifo_in_payload_data = storage_mem_sink_payload_data;
+assign storage_mem_source_valid = storage_mem_readable;
+assign storage_mem_source_first = storage_mem_fifo_out_first;
+assign storage_mem_source_last = storage_mem_fifo_out_last;
+assign storage_mem_source_payload_data = storage_mem_fifo_out_payload_data;
+assign storage_mem_re = storage_mem_source_ready;
+assign storage_mem_syncfifo_re = (storage_mem_syncfifo_readable & ((~storage_mem_readable) | storage_mem_re));
+assign storage_mem_level1 = (storage_mem_level0 + storage_mem_readable);
+always @(*) begin
+ storage_mem_wrport_adr <= 8'd0;
+ if (storage_mem_replace) begin
+ storage_mem_wrport_adr <= (storage_mem_produce - 1'd1);
+ end else begin
+ storage_mem_wrport_adr <= storage_mem_produce;
+ end
+end
+assign storage_mem_wrport_dat_w = storage_mem_syncfifo_din;
+assign storage_mem_wrport_we = (storage_mem_syncfifo_we & (storage_mem_syncfifo_writable | storage_mem_replace));
+assign storage_mem_do_read = (storage_mem_syncfifo_readable & storage_mem_syncfifo_re);
+assign storage_mem_rdport_adr = storage_mem_consume;
+assign storage_mem_syncfifo_dout = storage_mem_rdport_dat_r;
+assign storage_mem_rdport_re = storage_mem_do_read;
+assign storage_mem_syncfifo_writable = (storage_mem_level0 != 9'd256);
+assign storage_mem_syncfifo_readable = (storage_mem_level0 != 1'd0);
+assign storage_cdc_asyncfifo_din = {storage_cdc_fifo_in_last, storage_cdc_fifo_in_first, storage_cdc_fifo_in_payload_data};
+assign {storage_cdc_fifo_out_last, storage_cdc_fifo_out_first, storage_cdc_fifo_out_payload_data} = storage_cdc_asyncfifo_dout;
+assign storage_cdc_sink_ready = storage_cdc_asyncfifo_writable;
+assign storage_cdc_asyncfifo_we = storage_cdc_sink_valid;
+assign storage_cdc_fifo_in_first = storage_cdc_sink_first;
+assign storage_cdc_fifo_in_last = storage_cdc_sink_last;
+assign storage_cdc_fifo_in_payload_data = storage_cdc_sink_payload_data;
+assign storage_cdc_source_valid = storage_cdc_asyncfifo_readable;
+assign storage_cdc_source_first = storage_cdc_fifo_out_first;
+assign storage_cdc_source_last = storage_cdc_fifo_out_last;
+assign storage_cdc_source_payload_data = storage_cdc_fifo_out_payload_data;
+assign storage_cdc_asyncfifo_re = storage_cdc_source_ready;
+assign storage_cdc_graycounter0_ce = (storage_cdc_asyncfifo_writable & storage_cdc_asyncfifo_we);
+assign storage_cdc_graycounter1_ce = (storage_cdc_asyncfifo_readable & storage_cdc_asyncfifo_re);
+assign storage_cdc_asyncfifo_writable = (((storage_cdc_graycounter0_q[2] == storage_cdc_consume_wdomain[2]) | (storage_cdc_graycounter0_q[1] == storage_cdc_consume_wdomain[1])) | (storage_cdc_graycounter0_q[0] != storage_cdc_consume_wdomain[0]));
+assign storage_cdc_asyncfifo_readable = (storage_cdc_graycounter1_q != storage_cdc_produce_rdomain);
+assign storage_cdc_wrport_adr = storage_cdc_graycounter0_q_binary[1:0];
+assign storage_cdc_wrport_dat_w = storage_cdc_asyncfifo_din;
+assign storage_cdc_wrport_we = storage_cdc_graycounter0_ce;
+assign storage_cdc_rdport_adr = storage_cdc_graycounter1_q_next_binary[1:0];
+assign storage_cdc_asyncfifo_dout = storage_cdc_rdport_dat_r;
+always @(*) begin
+ storage_cdc_graycounter0_q_next_binary <= 3'd0;
+ if (storage_cdc_graycounter0_ce) begin
+ storage_cdc_graycounter0_q_next_binary <= (storage_cdc_graycounter0_q_binary + 1'd1);
+ end else begin
+ storage_cdc_graycounter0_q_next_binary <= storage_cdc_graycounter0_q_binary;
+ end
+end
+assign storage_cdc_graycounter0_q_next = (storage_cdc_graycounter0_q_next_binary ^ storage_cdc_graycounter0_q_next_binary[2:1]);
+always @(*) begin
+ storage_cdc_graycounter1_q_next_binary <= 3'd0;
+ if (storage_cdc_graycounter1_ce) begin
+ storage_cdc_graycounter1_q_next_binary <= (storage_cdc_graycounter1_q_binary + 1'd1);
+ end else begin
+ storage_cdc_graycounter1_q_next_binary <= storage_cdc_graycounter1_q_binary;
+ end
+end
+assign storage_cdc_graycounter1_q_next = (storage_cdc_graycounter1_q_next_binary ^ storage_cdc_graycounter1_q_next_binary[2:1]);
+assign storage_done1 = (storage_count == 1'd0);
+always @(*) begin
+ storage_wait <= 1'd0;
+ storage_cdc_sink_valid <= 1'd0;
+ storage_cdc_sink_first <= 1'd0;
+ litescopeanalyzer_next_state <= 2'd0;
+ storage_cdc_sink_last <= 1'd0;
+ storage_sink_sink_ready <= 1'd0;
+ storage_done0 <= 1'd0;
+ storage_cdc_sink_payload_data <= 2'd0;
+ storage_mem_sink_valid <= 1'd0;
+ storage_mem_sink_first <= 1'd0;
+ storage_mem_sink_last <= 1'd0;
+ storage_mem_sink_payload_data <= 2'd0;
+ storage_mem_source_ready <= 1'd0;
+ litescopeanalyzer_next_state <= litescopeanalyzer_state;
+ case (litescopeanalyzer_state)
+ 1'd1: begin
+ storage_sink_sink_ready <= 1'd1;
+ storage_wait <= 1'd1;
+ storage_mem_source_ready <= 1'd1;
+ if (storage_done1) begin
+ litescopeanalyzer_next_state <= 2'd2;
+ end
+ end
+ 2'd2: begin
+ storage_mem_sink_valid <= storage_sink_sink_valid;
+ storage_sink_sink_ready <= storage_mem_sink_ready;
+ storage_mem_sink_first <= storage_sink_sink_first;
+ storage_mem_sink_last <= storage_sink_sink_last;
+ storage_mem_sink_payload_data <= storage_sink_sink_payload_data;
+ if ((storage_sink_sink_valid & storage_sink_sink_payload_hit)) begin
+ litescopeanalyzer_next_state <= 2'd3;
+ end
+ storage_mem_source_ready <= (storage_mem_level1 >= storage_offset_storage);
+ end
+ 2'd3: begin
+ storage_mem_sink_valid <= storage_sink_sink_valid;
+ storage_sink_sink_ready <= storage_mem_sink_ready;
+ storage_mem_sink_first <= storage_sink_sink_first;
+ storage_mem_sink_last <= storage_sink_sink_last;
+ storage_mem_sink_payload_data <= storage_sink_sink_payload_data;
+ if ((storage_mem_level1 >= storage_length_storage)) begin
+ litescopeanalyzer_next_state <= 1'd0;
+ end
+ end
+ default: begin
+ storage_done0 <= 1'd1;
+ if ((storage_enable & (~storage_enable_d))) begin
+ litescopeanalyzer_next_state <= 1'd1;
+ end
+ storage_sink_sink_ready <= 1'd1;
+ storage_cdc_sink_valid <= storage_mem_source_valid;
+ storage_mem_source_ready <= storage_cdc_sink_ready;
+ storage_cdc_sink_first <= storage_mem_source_first;
+ storage_cdc_sink_last <= storage_mem_source_last;
+ storage_cdc_sink_payload_data <= storage_mem_source_payload_data;
+ end
+ endcase
+end
+assign trigger_sink_sink_valid = mux_source_valid;
+assign mux_source_ready = trigger_sink_sink_ready;
+assign trigger_sink_sink_first = mux_source_first;
+assign trigger_sink_sink_last = mux_source_last;
+assign trigger_sink_sink_payload_data = mux_source_payload_data;
+assign trigger_sink_sink_payload_hit = mux_source_payload_hit;
+assign subsampler_sink_valid = trigger_source_source_valid;
+assign trigger_source_source_ready = subsampler_sink_ready;
+assign subsampler_sink_first = trigger_source_source_first;
+assign subsampler_sink_last = trigger_source_source_last;
+assign subsampler_sink_payload_data = trigger_source_source_payload_data;
+assign subsampler_sink_payload_hit = trigger_source_source_payload_hit;
+assign storage_sink_sink_valid = subsampler_source_valid;
+assign subsampler_source_ready = storage_sink_sink_ready;
+assign storage_sink_sink_first = subsampler_source_first;
+assign storage_sink_sink_last = subsampler_source_last;
+assign storage_sink_sink_payload_data = subsampler_source_payload_data;
+assign storage_sink_sink_payload_hit = subsampler_source_payload_hit;
+assign shared_adr = array_muxed0;
+assign shared_dat_w = array_muxed1;
+assign shared_sel = array_muxed2;
+assign shared_cyc = array_muxed3;
+assign shared_stb = array_muxed4;
+assign shared_we = array_muxed5;
+assign shared_cti = array_muxed6;
+assign shared_bte = array_muxed7;
+assign uartwishbonebridge_wishbone_dat_r = shared_dat_r;
+assign uartwishbonebridge_wishbone_ack = (shared_ack & (grant == 1'd0));
+assign uartwishbonebridge_wishbone_err = (shared_err & (grant == 1'd0));
+assign request = {uartwishbonebridge_wishbone_cyc};
+assign grant = 1'd0;
+always @(*) begin
+ slave_sel <= 2'd0;
+ slave_sel[0] <= (shared_adr[28:26] == 3'd6);
+ slave_sel[1] <= (shared_adr[28:26] == 2'd2);
+end
+assign usbtestsoc_usbtestsoc_bus_wishbone_adr = shared_adr;
+assign usbtestsoc_usbtestsoc_bus_wishbone_dat_w = shared_dat_w;
+assign usbtestsoc_usbtestsoc_bus_wishbone_sel = shared_sel;
+assign usbtestsoc_usbtestsoc_bus_wishbone_stb = shared_stb;
+assign usbtestsoc_usbtestsoc_bus_wishbone_we = shared_we;
+assign usbtestsoc_usbtestsoc_bus_wishbone_cti = shared_cti;
+assign usbtestsoc_usbtestsoc_bus_wishbone_bte = shared_bte;
+assign usbtestsoc_spiflash_bus_adr = shared_adr;
+assign usbtestsoc_spiflash_bus_dat_w = shared_dat_w;
+assign usbtestsoc_spiflash_bus_sel = shared_sel;
+assign usbtestsoc_spiflash_bus_stb = shared_stb;
+assign usbtestsoc_spiflash_bus_we = shared_we;
+assign usbtestsoc_spiflash_bus_cti = shared_cti;
+assign usbtestsoc_spiflash_bus_bte = shared_bte;
+assign usbtestsoc_usbtestsoc_bus_wishbone_cyc = (shared_cyc & slave_sel[0]);
+assign usbtestsoc_spiflash_bus_cyc = (shared_cyc & slave_sel[1]);
+assign shared_err = (usbtestsoc_usbtestsoc_bus_wishbone_err | usbtestsoc_spiflash_bus_err);
+assign wait_1 = ((shared_stb & shared_cyc) & (~shared_ack));
+always @(*) begin
+ shared_dat_r <= 32'd0;
+ shared_ack <= 1'd0;
+ error <= 1'd0;
+ shared_ack <= (usbtestsoc_usbtestsoc_bus_wishbone_ack | usbtestsoc_spiflash_bus_ack);
+ shared_dat_r <= (({32{slave_sel_r[0]}} & usbtestsoc_usbtestsoc_bus_wishbone_dat_r) | ({32{slave_sel_r[1]}} & usbtestsoc_spiflash_bus_dat_r));
+ if (done) begin
+ shared_dat_r <= 32'd4294967295;
+ shared_ack <= 1'd1;
+ error <= 1'd1;
+ end
+end
+assign done = (count == 1'd0);
+assign csrbank0_sel = (interface0_bank_bus_adr[13:9] == 4'd10);
+assign csrbank0_mux_value0_r = interface0_bank_bus_dat_w[0];
+assign csrbank0_mux_value0_re = ((csrbank0_sel & interface0_bank_bus_we) & (interface0_bank_bus_adr[4:0] == 1'd0));
+assign csrbank0_trigger_enable0_r = interface0_bank_bus_dat_w[0];
+assign csrbank0_trigger_enable0_re = ((csrbank0_sel & interface0_bank_bus_we) & (interface0_bank_bus_adr[4:0] == 1'd1));
+assign csrbank0_trigger_done_r = interface0_bank_bus_dat_w[0];
+assign csrbank0_trigger_done_re = ((csrbank0_sel & interface0_bank_bus_we) & (interface0_bank_bus_adr[4:0] == 2'd2));
+assign trigger_mem_write_r = interface0_bank_bus_dat_w[0];
+assign trigger_mem_write_re = ((csrbank0_sel & interface0_bank_bus_we) & (interface0_bank_bus_adr[4:0] == 2'd3));
+assign csrbank0_trigger_mem_mask0_r = interface0_bank_bus_dat_w[1:0];
+assign csrbank0_trigger_mem_mask0_re = ((csrbank0_sel & interface0_bank_bus_we) & (interface0_bank_bus_adr[4:0] == 3'd4));
+assign csrbank0_trigger_mem_value0_r = interface0_bank_bus_dat_w[1:0];
+assign csrbank0_trigger_mem_value0_re = ((csrbank0_sel & interface0_bank_bus_we) & (interface0_bank_bus_adr[4:0] == 3'd5));
+assign csrbank0_trigger_mem_full_r = interface0_bank_bus_dat_w[0];
+assign csrbank0_trigger_mem_full_re = ((csrbank0_sel & interface0_bank_bus_we) & (interface0_bank_bus_adr[4:0] == 3'd6));
+assign csrbank0_subsampler_value1_r = interface0_bank_bus_dat_w[7:0];
+assign csrbank0_subsampler_value1_re = ((csrbank0_sel & interface0_bank_bus_we) & (interface0_bank_bus_adr[4:0] == 3'd7));
+assign csrbank0_subsampler_value0_r = interface0_bank_bus_dat_w[7:0];
+assign csrbank0_subsampler_value0_re = ((csrbank0_sel & interface0_bank_bus_we) & (interface0_bank_bus_adr[4:0] == 4'd8));
+assign csrbank0_storage_enable0_r = interface0_bank_bus_dat_w[0];
+assign csrbank0_storage_enable0_re = ((csrbank0_sel & interface0_bank_bus_we) & (interface0_bank_bus_adr[4:0] == 4'd9));
+assign csrbank0_storage_done_r = interface0_bank_bus_dat_w[0];
+assign csrbank0_storage_done_re = ((csrbank0_sel & interface0_bank_bus_we) & (interface0_bank_bus_adr[4:0] == 4'd10));
+assign csrbank0_storage_length1_r = interface0_bank_bus_dat_w[0];
+assign csrbank0_storage_length1_re = ((csrbank0_sel & interface0_bank_bus_we) & (interface0_bank_bus_adr[4:0] == 4'd11));
+assign csrbank0_storage_length0_r = interface0_bank_bus_dat_w[7:0];
+assign csrbank0_storage_length0_re = ((csrbank0_sel & interface0_bank_bus_we) & (interface0_bank_bus_adr[4:0] == 4'd12));
+assign csrbank0_storage_offset1_r = interface0_bank_bus_dat_w[0];
+assign csrbank0_storage_offset1_re = ((csrbank0_sel & interface0_bank_bus_we) & (interface0_bank_bus_adr[4:0] == 4'd13));
+assign csrbank0_storage_offset0_r = interface0_bank_bus_dat_w[7:0];
+assign csrbank0_storage_offset0_re = ((csrbank0_sel & interface0_bank_bus_we) & (interface0_bank_bus_adr[4:0] == 4'd14));
+assign csrbank0_storage_mem_valid_r = interface0_bank_bus_dat_w[0];
+assign csrbank0_storage_mem_valid_re = ((csrbank0_sel & interface0_bank_bus_we) & (interface0_bank_bus_adr[4:0] == 4'd15));
+assign storage_mem_ready_r = interface0_bank_bus_dat_w[0];
+assign storage_mem_ready_re = ((csrbank0_sel & interface0_bank_bus_we) & (interface0_bank_bus_adr[4:0] == 5'd16));
+assign csrbank0_storage_mem_data_r = interface0_bank_bus_dat_w[1:0];
+assign csrbank0_storage_mem_data_re = ((csrbank0_sel & interface0_bank_bus_we) & (interface0_bank_bus_adr[4:0] == 5'd17));
+assign mux_value_storage = mux_value_storage_full;
+assign csrbank0_mux_value0_w = mux_value_storage_full;
+assign trigger_enable_storage = trigger_enable_storage_full;
+assign csrbank0_trigger_enable0_w = trigger_enable_storage_full;
+assign csrbank0_trigger_done_w = trigger_done_status;
+assign trigger_mem_mask_storage = trigger_mem_mask_storage_full[1:0];
+assign csrbank0_trigger_mem_mask0_w = trigger_mem_mask_storage_full[1:0];
+assign trigger_mem_value_storage = trigger_mem_value_storage_full[1:0];
+assign csrbank0_trigger_mem_value0_w = trigger_mem_value_storage_full[1:0];
+assign csrbank0_trigger_mem_full_w = trigger_mem_full_status;
+assign subsampler_value_storage = subsampler_value_storage_full[15:0];
+assign csrbank0_subsampler_value1_w = subsampler_value_storage_full[15:8];
+assign csrbank0_subsampler_value0_w = subsampler_value_storage_full[7:0];
+assign storage_enable_storage = storage_enable_storage_full;
+assign csrbank0_storage_enable0_w = storage_enable_storage_full;
+assign csrbank0_storage_done_w = storage_done_status;
+assign storage_length_storage = storage_length_storage_full[8:0];
+assign csrbank0_storage_length1_w = storage_length_storage_full[8];
+assign csrbank0_storage_length0_w = storage_length_storage_full[7:0];
+assign storage_offset_storage = storage_offset_storage_full[8:0];
+assign csrbank0_storage_offset1_w = storage_offset_storage_full[8];
+assign csrbank0_storage_offset0_w = storage_offset_storage_full[7:0];
+assign csrbank0_storage_mem_valid_w = storage_mem_valid_status;
+assign csrbank0_storage_mem_data_w = storage_mem_data_status[1:0];
+assign csrbank1_sel = (interface1_bank_bus_adr[13:9] == 1'd0);
+assign usbtestsoc_usbtestsoc_reset_reset_r = interface1_bank_bus_dat_w[0];
+assign usbtestsoc_usbtestsoc_reset_reset_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[3:0] == 1'd0));
+assign csrbank1_scratch3_r = interface1_bank_bus_dat_w[7:0];
+assign csrbank1_scratch3_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[3:0] == 1'd1));
+assign csrbank1_scratch2_r = interface1_bank_bus_dat_w[7:0];
+assign csrbank1_scratch2_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[3:0] == 2'd2));
+assign csrbank1_scratch1_r = interface1_bank_bus_dat_w[7:0];
+assign csrbank1_scratch1_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[3:0] == 2'd3));
+assign csrbank1_scratch0_r = interface1_bank_bus_dat_w[7:0];
+assign csrbank1_scratch0_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[3:0] == 3'd4));
+assign csrbank1_bus_errors3_r = interface1_bank_bus_dat_w[7:0];
+assign csrbank1_bus_errors3_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[3:0] == 3'd5));
+assign csrbank1_bus_errors2_r = interface1_bank_bus_dat_w[7:0];
+assign csrbank1_bus_errors2_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[3:0] == 3'd6));
+assign csrbank1_bus_errors1_r = interface1_bank_bus_dat_w[7:0];
+assign csrbank1_bus_errors1_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[3:0] == 3'd7));
+assign csrbank1_bus_errors0_r = interface1_bank_bus_dat_w[7:0];
+assign csrbank1_bus_errors0_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[3:0] == 4'd8));
+assign usbtestsoc_usbtestsoc_storage = usbtestsoc_usbtestsoc_storage_full[31:0];
+assign csrbank1_scratch3_w = usbtestsoc_usbtestsoc_storage_full[31:24];
+assign csrbank1_scratch2_w = usbtestsoc_usbtestsoc_storage_full[23:16];
+assign csrbank1_scratch1_w = usbtestsoc_usbtestsoc_storage_full[15:8];
+assign csrbank1_scratch0_w = usbtestsoc_usbtestsoc_storage_full[7:0];
+assign csrbank1_bus_errors3_w = usbtestsoc_usbtestsoc_bus_errors_status[31:24];
+assign csrbank1_bus_errors2_w = usbtestsoc_usbtestsoc_bus_errors_status[23:16];
+assign csrbank1_bus_errors1_w = usbtestsoc_usbtestsoc_bus_errors_status[15:8];
+assign csrbank1_bus_errors0_w = usbtestsoc_usbtestsoc_bus_errors_status[7:0];
+assign sel = (sram_bus_adr[13:9] == 3'd4);
+always @(*) begin
+ sram_bus_dat_r <= 8'd0;
+ if (sel_r) begin
+ sram_bus_dat_r <= dat_r;
+ end
+end
+assign adr = sram_bus_adr[3:0];
+assign csrbank2_sel = (interface2_bank_bus_adr[13:9] == 4'd9);
+assign csrbank2_git_commit19_r = interface2_bank_bus_dat_w[7:0];
+assign csrbank2_git_commit19_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[5:0] == 1'd0));
+assign csrbank2_git_commit18_r = interface2_bank_bus_dat_w[7:0];
+assign csrbank2_git_commit18_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[5:0] == 1'd1));
+assign csrbank2_git_commit17_r = interface2_bank_bus_dat_w[7:0];
+assign csrbank2_git_commit17_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[5:0] == 2'd2));
+assign csrbank2_git_commit16_r = interface2_bank_bus_dat_w[7:0];
+assign csrbank2_git_commit16_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[5:0] == 2'd3));
+assign csrbank2_git_commit15_r = interface2_bank_bus_dat_w[7:0];
+assign csrbank2_git_commit15_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[5:0] == 3'd4));
+assign csrbank2_git_commit14_r = interface2_bank_bus_dat_w[7:0];
+assign csrbank2_git_commit14_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[5:0] == 3'd5));
+assign csrbank2_git_commit13_r = interface2_bank_bus_dat_w[7:0];
+assign csrbank2_git_commit13_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[5:0] == 3'd6));
+assign csrbank2_git_commit12_r = interface2_bank_bus_dat_w[7:0];
+assign csrbank2_git_commit12_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[5:0] == 3'd7));
+assign csrbank2_git_commit11_r = interface2_bank_bus_dat_w[7:0];
+assign csrbank2_git_commit11_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[5:0] == 4'd8));
+assign csrbank2_git_commit10_r = interface2_bank_bus_dat_w[7:0];
+assign csrbank2_git_commit10_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[5:0] == 4'd9));
+assign csrbank2_git_commit9_r = interface2_bank_bus_dat_w[7:0];
+assign csrbank2_git_commit9_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[5:0] == 4'd10));
+assign csrbank2_git_commit8_r = interface2_bank_bus_dat_w[7:0];
+assign csrbank2_git_commit8_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[5:0] == 4'd11));
+assign csrbank2_git_commit7_r = interface2_bank_bus_dat_w[7:0];
+assign csrbank2_git_commit7_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[5:0] == 4'd12));
+assign csrbank2_git_commit6_r = interface2_bank_bus_dat_w[7:0];
+assign csrbank2_git_commit6_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[5:0] == 4'd13));
+assign csrbank2_git_commit5_r = interface2_bank_bus_dat_w[7:0];
+assign csrbank2_git_commit5_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[5:0] == 4'd14));
+assign csrbank2_git_commit4_r = interface2_bank_bus_dat_w[7:0];
+assign csrbank2_git_commit4_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[5:0] == 4'd15));
+assign csrbank2_git_commit3_r = interface2_bank_bus_dat_w[7:0];
+assign csrbank2_git_commit3_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[5:0] == 5'd16));
+assign csrbank2_git_commit2_r = interface2_bank_bus_dat_w[7:0];
+assign csrbank2_git_commit2_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[5:0] == 5'd17));
+assign csrbank2_git_commit1_r = interface2_bank_bus_dat_w[7:0];
+assign csrbank2_git_commit1_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[5:0] == 5'd18));
+assign csrbank2_git_commit0_r = interface2_bank_bus_dat_w[7:0];
+assign csrbank2_git_commit0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[5:0] == 5'd19));
+assign csrbank2_platform_platform7_r = interface2_bank_bus_dat_w[7:0];
+assign csrbank2_platform_platform7_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[5:0] == 5'd20));
+assign csrbank2_platform_platform6_r = interface2_bank_bus_dat_w[7:0];
+assign csrbank2_platform_platform6_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[5:0] == 5'd21));
+assign csrbank2_platform_platform5_r = interface2_bank_bus_dat_w[7:0];
+assign csrbank2_platform_platform5_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[5:0] == 5'd22));
+assign csrbank2_platform_platform4_r = interface2_bank_bus_dat_w[7:0];
+assign csrbank2_platform_platform4_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[5:0] == 5'd23));
+assign csrbank2_platform_platform3_r = interface2_bank_bus_dat_w[7:0];
+assign csrbank2_platform_platform3_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[5:0] == 5'd24));
+assign csrbank2_platform_platform2_r = interface2_bank_bus_dat_w[7:0];
+assign csrbank2_platform_platform2_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[5:0] == 5'd25));
+assign csrbank2_platform_platform1_r = interface2_bank_bus_dat_w[7:0];
+assign csrbank2_platform_platform1_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[5:0] == 5'd26));
+assign csrbank2_platform_platform0_r = interface2_bank_bus_dat_w[7:0];
+assign csrbank2_platform_platform0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[5:0] == 5'd27));
+assign csrbank2_platform_target7_r = interface2_bank_bus_dat_w[7:0];
+assign csrbank2_platform_target7_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[5:0] == 5'd28));
+assign csrbank2_platform_target6_r = interface2_bank_bus_dat_w[7:0];
+assign csrbank2_platform_target6_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[5:0] == 5'd29));
+assign csrbank2_platform_target5_r = interface2_bank_bus_dat_w[7:0];
+assign csrbank2_platform_target5_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[5:0] == 5'd30));
+assign csrbank2_platform_target4_r = interface2_bank_bus_dat_w[7:0];
+assign csrbank2_platform_target4_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[5:0] == 5'd31));
+assign csrbank2_platform_target3_r = interface2_bank_bus_dat_w[7:0];
+assign csrbank2_platform_target3_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[5:0] == 6'd32));
+assign csrbank2_platform_target2_r = interface2_bank_bus_dat_w[7:0];
+assign csrbank2_platform_target2_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[5:0] == 6'd33));
+assign csrbank2_platform_target1_r = interface2_bank_bus_dat_w[7:0];
+assign csrbank2_platform_target1_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[5:0] == 6'd34));
+assign csrbank2_platform_target0_r = interface2_bank_bus_dat_w[7:0];
+assign csrbank2_platform_target0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[5:0] == 6'd35));
+assign csrbank2_git_commit19_w = usbtestsoc_status[159:152];
+assign csrbank2_git_commit18_w = usbtestsoc_status[151:144];
+assign csrbank2_git_commit17_w = usbtestsoc_status[143:136];
+assign csrbank2_git_commit16_w = usbtestsoc_status[135:128];
+assign csrbank2_git_commit15_w = usbtestsoc_status[127:120];
+assign csrbank2_git_commit14_w = usbtestsoc_status[119:112];
+assign csrbank2_git_commit13_w = usbtestsoc_status[111:104];
+assign csrbank2_git_commit12_w = usbtestsoc_status[103:96];
+assign csrbank2_git_commit11_w = usbtestsoc_status[95:88];
+assign csrbank2_git_commit10_w = usbtestsoc_status[87:80];
+assign csrbank2_git_commit9_w = usbtestsoc_status[79:72];
+assign csrbank2_git_commit8_w = usbtestsoc_status[71:64];
+assign csrbank2_git_commit7_w = usbtestsoc_status[63:56];
+assign csrbank2_git_commit6_w = usbtestsoc_status[55:48];
+assign csrbank2_git_commit5_w = usbtestsoc_status[47:40];
+assign csrbank2_git_commit4_w = usbtestsoc_status[39:32];
+assign csrbank2_git_commit3_w = usbtestsoc_status[31:24];
+assign csrbank2_git_commit2_w = usbtestsoc_status[23:16];
+assign csrbank2_git_commit1_w = usbtestsoc_status[15:8];
+assign csrbank2_git_commit0_w = usbtestsoc_status[7:0];
+assign csrbank2_platform_platform7_w = usbtestsoc_platform_status[63:56];
+assign csrbank2_platform_platform6_w = usbtestsoc_platform_status[55:48];
+assign csrbank2_platform_platform5_w = usbtestsoc_platform_status[47:40];
+assign csrbank2_platform_platform4_w = usbtestsoc_platform_status[39:32];
+assign csrbank2_platform_platform3_w = usbtestsoc_platform_status[31:24];
+assign csrbank2_platform_platform2_w = usbtestsoc_platform_status[23:16];
+assign csrbank2_platform_platform1_w = usbtestsoc_platform_status[15:8];
+assign csrbank2_platform_platform0_w = usbtestsoc_platform_status[7:0];
+assign csrbank2_platform_target7_w = usbtestsoc_target_status[63:56];
+assign csrbank2_platform_target6_w = usbtestsoc_target_status[55:48];
+assign csrbank2_platform_target5_w = usbtestsoc_target_status[47:40];
+assign csrbank2_platform_target4_w = usbtestsoc_target_status[39:32];
+assign csrbank2_platform_target3_w = usbtestsoc_target_status[31:24];
+assign csrbank2_platform_target2_w = usbtestsoc_target_status[23:16];
+assign csrbank2_platform_target1_w = usbtestsoc_target_status[15:8];
+assign csrbank2_platform_target0_w = usbtestsoc_target_status[7:0];
+assign csrbank3_sel = (interface3_bank_bus_adr[13:9] == 4'd11);
+assign csrbank3_in_r = interface3_bank_bus_dat_w[7:0];
+assign csrbank3_in_re = ((csrbank3_sel & interface3_bank_bus_we) & (interface3_bank_bus_adr[0] == 1'd0));
+assign csrbank3_out0_r = interface3_bank_bus_dat_w[7:0];
+assign csrbank3_out0_re = ((csrbank3_sel & interface3_bank_bus_we) & (interface3_bank_bus_adr[0] == 1'd1));
+assign csrbank3_in_w = io_status[7:0];
+assign io_storage = io_storage_full[7:0];
+assign csrbank3_out0_w = io_storage_full[7:0];
+assign csrbank4_sel = (interface4_bank_bus_adr[13:9] == 4'd8);
+assign csrbank4_bitbang0_r = interface4_bank_bus_dat_w[3:0];
+assign csrbank4_bitbang0_re = ((csrbank4_sel & interface4_bank_bus_we) & (interface4_bank_bus_adr[1:0] == 1'd0));
+assign csrbank4_miso_r = interface4_bank_bus_dat_w[0];
+assign csrbank4_miso_re = ((csrbank4_sel & interface4_bank_bus_we) & (interface4_bank_bus_adr[1:0] == 1'd1));
+assign csrbank4_bitbang_en0_r = interface4_bank_bus_dat_w[0];
+assign csrbank4_bitbang_en0_re = ((csrbank4_sel & interface4_bank_bus_we) & (interface4_bank_bus_adr[1:0] == 2'd2));
+assign usbtestsoc_spiflash_bitbang_storage = usbtestsoc_spiflash_bitbang_storage_full[3:0];
+assign csrbank4_bitbang0_w = usbtestsoc_spiflash_bitbang_storage_full[3:0];
+assign csrbank4_miso_w = usbtestsoc_spiflash_miso_status;
+assign usbtestsoc_spiflash_bitbang_en_storage = usbtestsoc_spiflash_bitbang_en_storage_full;
+assign csrbank4_bitbang_en0_w = usbtestsoc_spiflash_bitbang_en_storage_full;
+assign csrbank5_sel = (interface5_bank_bus_adr[13:9] == 3'd5);
+assign csrbank5_load3_r = interface5_bank_bus_dat_w[7:0];
+assign csrbank5_load3_re = ((csrbank5_sel & interface5_bank_bus_we) & (interface5_bank_bus_adr[4:0] == 1'd0));
+assign csrbank5_load2_r = interface5_bank_bus_dat_w[7:0];
+assign csrbank5_load2_re = ((csrbank5_sel & interface5_bank_bus_we) & (interface5_bank_bus_adr[4:0] == 1'd1));
+assign csrbank5_load1_r = interface5_bank_bus_dat_w[7:0];
+assign csrbank5_load1_re = ((csrbank5_sel & interface5_bank_bus_we) & (interface5_bank_bus_adr[4:0] == 2'd2));
+assign csrbank5_load0_r = interface5_bank_bus_dat_w[7:0];
+assign csrbank5_load0_re = ((csrbank5_sel & interface5_bank_bus_we) & (interface5_bank_bus_adr[4:0] == 2'd3));
+assign csrbank5_reload3_r = interface5_bank_bus_dat_w[7:0];
+assign csrbank5_reload3_re = ((csrbank5_sel & interface5_bank_bus_we) & (interface5_bank_bus_adr[4:0] == 3'd4));
+assign csrbank5_reload2_r = interface5_bank_bus_dat_w[7:0];
+assign csrbank5_reload2_re = ((csrbank5_sel & interface5_bank_bus_we) & (interface5_bank_bus_adr[4:0] == 3'd5));
+assign csrbank5_reload1_r = interface5_bank_bus_dat_w[7:0];
+assign csrbank5_reload1_re = ((csrbank5_sel & interface5_bank_bus_we) & (interface5_bank_bus_adr[4:0] == 3'd6));
+assign csrbank5_reload0_r = interface5_bank_bus_dat_w[7:0];
+assign csrbank5_reload0_re = ((csrbank5_sel & interface5_bank_bus_we) & (interface5_bank_bus_adr[4:0] == 3'd7));
+assign csrbank5_en0_r = interface5_bank_bus_dat_w[0];
+assign csrbank5_en0_re = ((csrbank5_sel & interface5_bank_bus_we) & (interface5_bank_bus_adr[4:0] == 4'd8));
+assign usbtestsoc_usbtestsoc_update_value_r = interface5_bank_bus_dat_w[0];
+assign usbtestsoc_usbtestsoc_update_value_re = ((csrbank5_sel & interface5_bank_bus_we) & (interface5_bank_bus_adr[4:0] == 4'd9));
+assign csrbank5_value3_r = interface5_bank_bus_dat_w[7:0];
+assign csrbank5_value3_re = ((csrbank5_sel & interface5_bank_bus_we) & (interface5_bank_bus_adr[4:0] == 4'd10));
+assign csrbank5_value2_r = interface5_bank_bus_dat_w[7:0];
+assign csrbank5_value2_re = ((csrbank5_sel & interface5_bank_bus_we) & (interface5_bank_bus_adr[4:0] == 4'd11));
+assign csrbank5_value1_r = interface5_bank_bus_dat_w[7:0];
+assign csrbank5_value1_re = ((csrbank5_sel & interface5_bank_bus_we) & (interface5_bank_bus_adr[4:0] == 4'd12));
+assign csrbank5_value0_r = interface5_bank_bus_dat_w[7:0];
+assign csrbank5_value0_re = ((csrbank5_sel & interface5_bank_bus_we) & (interface5_bank_bus_adr[4:0] == 4'd13));
+assign usbtestsoc_usbtestsoc_eventmanager_status_r = interface5_bank_bus_dat_w[0];
+assign usbtestsoc_usbtestsoc_eventmanager_status_re = ((csrbank5_sel & interface5_bank_bus_we) & (interface5_bank_bus_adr[4:0] == 4'd14));
+assign usbtestsoc_usbtestsoc_eventmanager_pending_r = interface5_bank_bus_dat_w[0];
+assign usbtestsoc_usbtestsoc_eventmanager_pending_re = ((csrbank5_sel & interface5_bank_bus_we) & (interface5_bank_bus_adr[4:0] == 4'd15));
+assign csrbank5_ev_enable0_r = interface5_bank_bus_dat_w[0];
+assign csrbank5_ev_enable0_re = ((csrbank5_sel & interface5_bank_bus_we) & (interface5_bank_bus_adr[4:0] == 5'd16));
+assign usbtestsoc_usbtestsoc_load_storage = usbtestsoc_usbtestsoc_load_storage_full[31:0];
+assign csrbank5_load3_w = usbtestsoc_usbtestsoc_load_storage_full[31:24];
+assign csrbank5_load2_w = usbtestsoc_usbtestsoc_load_storage_full[23:16];
+assign csrbank5_load1_w = usbtestsoc_usbtestsoc_load_storage_full[15:8];
+assign csrbank5_load0_w = usbtestsoc_usbtestsoc_load_storage_full[7:0];
+assign usbtestsoc_usbtestsoc_reload_storage = usbtestsoc_usbtestsoc_reload_storage_full[31:0];
+assign csrbank5_reload3_w = usbtestsoc_usbtestsoc_reload_storage_full[31:24];
+assign csrbank5_reload2_w = usbtestsoc_usbtestsoc_reload_storage_full[23:16];
+assign csrbank5_reload1_w = usbtestsoc_usbtestsoc_reload_storage_full[15:8];
+assign csrbank5_reload0_w = usbtestsoc_usbtestsoc_reload_storage_full[7:0];
+assign usbtestsoc_usbtestsoc_en_storage = usbtestsoc_usbtestsoc_en_storage_full;
+assign csrbank5_en0_w = usbtestsoc_usbtestsoc_en_storage_full;
+assign csrbank5_value3_w = usbtestsoc_usbtestsoc_value_status[31:24];
+assign csrbank5_value2_w = usbtestsoc_usbtestsoc_value_status[23:16];
+assign csrbank5_value1_w = usbtestsoc_usbtestsoc_value_status[15:8];
+assign csrbank5_value0_w = usbtestsoc_usbtestsoc_value_status[7:0];
+assign usbtestsoc_usbtestsoc_eventmanager_storage = usbtestsoc_usbtestsoc_eventmanager_storage_full;
+assign csrbank5_ev_enable0_w = usbtestsoc_usbtestsoc_eventmanager_storage_full;
+assign interface0_bank_bus_adr = usbtestsoc_usbtestsoc_adr;
+assign interface1_bank_bus_adr = usbtestsoc_usbtestsoc_adr;
+assign interface2_bank_bus_adr = usbtestsoc_usbtestsoc_adr;
+assign interface3_bank_bus_adr = usbtestsoc_usbtestsoc_adr;
+assign interface4_bank_bus_adr = usbtestsoc_usbtestsoc_adr;
+assign interface5_bank_bus_adr = usbtestsoc_usbtestsoc_adr;
+assign sram_bus_adr = usbtestsoc_usbtestsoc_adr;
+assign interface0_bank_bus_we = usbtestsoc_usbtestsoc_we;
+assign interface1_bank_bus_we = usbtestsoc_usbtestsoc_we;
+assign interface2_bank_bus_we = usbtestsoc_usbtestsoc_we;
+assign interface3_bank_bus_we = usbtestsoc_usbtestsoc_we;
+assign interface4_bank_bus_we = usbtestsoc_usbtestsoc_we;
+assign interface5_bank_bus_we = usbtestsoc_usbtestsoc_we;
+assign sram_bus_we = usbtestsoc_usbtestsoc_we;
+assign interface0_bank_bus_dat_w = usbtestsoc_usbtestsoc_dat_w;
+assign interface1_bank_bus_dat_w = usbtestsoc_usbtestsoc_dat_w;
+assign interface2_bank_bus_dat_w = usbtestsoc_usbtestsoc_dat_w;
+assign interface3_bank_bus_dat_w = usbtestsoc_usbtestsoc_dat_w;
+assign interface4_bank_bus_dat_w = usbtestsoc_usbtestsoc_dat_w;
+assign interface5_bank_bus_dat_w = usbtestsoc_usbtestsoc_dat_w;
+assign sram_bus_dat_w = usbtestsoc_usbtestsoc_dat_w;
+assign usbtestsoc_usbtestsoc_dat_r = ((((((interface0_bank_bus_dat_r | interface1_bank_bus_dat_r) | interface2_bank_bus_dat_r) | interface3_bank_bus_dat_r) | interface4_bank_bus_dat_r) | interface5_bank_bus_dat_r) | sram_bus_dat_r);
+assign slice_proxy0 = 8'd128;
+assign slice_proxy1 = {(4'd15 ^ usbdevice_tx_pid), usbdevice_tx_pid};
+always @(*) begin
+ t_array_muxed <= 1'd0;
+ case (usbdevice_current_endp)
+ 1'd0: begin
+ t_array_muxed <= usbdevice0;
+ end
+ 1'd1: begin
+ t_array_muxed <= usbdevice1;
+ end
+ 2'd2: begin
+ t_array_muxed <= usbdevice2;
+ end
+ 2'd3: begin
+ t_array_muxed <= usbdevice3;
+ end
+ 3'd4: begin
+ t_array_muxed <= usbdevice4;
+ end
+ 3'd5: begin
+ t_array_muxed <= usbdevice5;
+ end
+ 3'd6: begin
+ t_array_muxed <= usbdevice6;
+ end
+ 3'd7: begin
+ t_array_muxed <= usbdevice7;
+ end
+ 4'd8: begin
+ t_array_muxed <= usbdevice8;
+ end
+ 4'd9: begin
+ t_array_muxed <= usbdevice9;
+ end
+ 4'd10: begin
+ t_array_muxed <= usbdevice10;
+ end
+ 4'd11: begin
+ t_array_muxed <= usbdevice11;
+ end
+ 4'd12: begin
+ t_array_muxed <= usbdevice12;
+ end
+ 4'd13: begin
+ t_array_muxed <= usbdevice13;
+ end
+ 4'd14: begin
+ t_array_muxed <= usbdevice14;
+ end
+ default: begin
+ t_array_muxed <= usbdevice15;
+ end
+ endcase
+end
+always @(*) begin
+ basiclowerer_array_muxed <= 1'd0;
+ case (usbdevice_current_endp)
+ 1'd0: begin
+ basiclowerer_array_muxed <= usbdevice32;
+ end
+ 1'd1: begin
+ basiclowerer_array_muxed <= usbdevice33;
+ end
+ 2'd2: begin
+ basiclowerer_array_muxed <= usbdevice34;
+ end
+ 2'd3: begin
+ basiclowerer_array_muxed <= usbdevice35;
+ end
+ 3'd4: begin
+ basiclowerer_array_muxed <= usbdevice36;
+ end
+ 3'd5: begin
+ basiclowerer_array_muxed <= usbdevice37;
+ end
+ 3'd6: begin
+ basiclowerer_array_muxed <= usbdevice38;
+ end
+ 3'd7: begin
+ basiclowerer_array_muxed <= usbdevice39;
+ end
+ 4'd8: begin
+ basiclowerer_array_muxed <= usbdevice40;
+ end
+ 4'd9: begin
+ basiclowerer_array_muxed <= usbdevice41;
+ end
+ 4'd10: begin
+ basiclowerer_array_muxed <= usbdevice42;
+ end
+ 4'd11: begin
+ basiclowerer_array_muxed <= usbdevice43;
+ end
+ 4'd12: begin
+ basiclowerer_array_muxed <= usbdevice44;
+ end
+ 4'd13: begin
+ basiclowerer_array_muxed <= usbdevice45;
+ end
+ 4'd14: begin
+ basiclowerer_array_muxed <= usbdevice46;
+ end
+ default: begin
+ basiclowerer_array_muxed <= usbdevice47;
+ end
+ endcase
+end
+always @(*) begin
+ rhs_array_muxed <= 1'd0;
+ case (usbdevice_current_endp)
+ 1'd0: begin
+ rhs_array_muxed <= usbdevice16;
+ end
+ 1'd1: begin
+ rhs_array_muxed <= usbdevice17;
+ end
+ 2'd2: begin
+ rhs_array_muxed <= usbdevice18;
+ end
+ 2'd3: begin
+ rhs_array_muxed <= usbdevice19;
+ end
+ 3'd4: begin
+ rhs_array_muxed <= usbdevice20;
+ end
+ 3'd5: begin
+ rhs_array_muxed <= usbdevice21;
+ end
+ 3'd6: begin
+ rhs_array_muxed <= usbdevice22;
+ end
+ 3'd7: begin
+ rhs_array_muxed <= usbdevice23;
+ end
+ 4'd8: begin
+ rhs_array_muxed <= usbdevice24;
+ end
+ 4'd9: begin
+ rhs_array_muxed <= usbdevice25;
+ end
+ 4'd10: begin
+ rhs_array_muxed <= usbdevice26;
+ end
+ 4'd11: begin
+ rhs_array_muxed <= usbdevice27;
+ end
+ 4'd12: begin
+ rhs_array_muxed <= usbdevice28;
+ end
+ 4'd13: begin
+ rhs_array_muxed <= usbdevice29;
+ end
+ 4'd14: begin
+ rhs_array_muxed <= usbdevice30;
+ end
+ default: begin
+ rhs_array_muxed <= usbdevice31;
+ end
+ endcase
+end
+always @(*) begin
+ array_muxed0 <= 30'd0;
+ case (grant)
+ default: begin
+ array_muxed0 <= uartwishbonebridge_wishbone_adr;
+ end
+ endcase
+end
+always @(*) begin
+ array_muxed1 <= 32'd0;
+ case (grant)
+ default: begin
+ array_muxed1 <= uartwishbonebridge_wishbone_dat_w;
+ end
+ endcase
+end
+always @(*) begin
+ array_muxed2 <= 4'd0;
+ case (grant)
+ default: begin
+ array_muxed2 <= uartwishbonebridge_wishbone_sel;
+ end
+ endcase
+end
+always @(*) begin
+ array_muxed3 <= 1'd0;
+ case (grant)
+ default: begin
+ array_muxed3 <= uartwishbonebridge_wishbone_cyc;
+ end
+ endcase
+end
+always @(*) begin
+ array_muxed4 <= 1'd0;
+ case (grant)
+ default: begin
+ array_muxed4 <= uartwishbonebridge_wishbone_stb;
+ end
+ endcase
+end
+always @(*) begin
+ array_muxed5 <= 1'd0;
+ case (grant)
+ default: begin
+ array_muxed5 <= uartwishbonebridge_wishbone_we;
+ end
+ endcase
+end
+always @(*) begin
+ array_muxed6 <= 3'd0;
+ case (grant)
+ default: begin
+ array_muxed6 <= uartwishbonebridge_wishbone_cti;
+ end
+ endcase
+end
+always @(*) begin
+ array_muxed7 <= 2'd0;
+ case (grant)
+ default: begin
+ array_muxed7 <= uartwishbonebridge_wishbone_bte;
+ end
+ endcase
+end
+assign uartwishbonebridge_rx = multiregimpl0_regs1;
+assign usbdevice_usbfsrx_usbp = multiregimpl1_regs2;
+assign usbdevice_usbfsrx_usbn = multiregimpl2_regs2;
+assign io_status = multiregimpl3_regs1;
+assign mux_value = multiregimpl4_regs1;
+assign trigger_enable = multiregimpl5_regs1;
+assign trigger_done_status = multiregimpl6_regs1;
+assign trigger_mem_produce_rdomain = multiregimpl7_regs1;
+assign trigger_mem_consume_wdomain = multiregimpl8_regs1;
+assign subsampler_value = multiregimpl9_regs1;
+assign storage_enable = multiregimpl10_regs1;
+assign storage_length = multiregimpl11_regs1;
+assign storage_offset = multiregimpl12_regs1;
+assign storage_done_status = multiregimpl13_regs1;
+assign storage_cdc_produce_rdomain = multiregimpl14_regs1;
+assign storage_cdc_consume_wdomain = multiregimpl15_regs1;
+
+always @(posedge por_clk) begin
+ if ((usbtestsoc_crg_reset_delay != 1'd0)) begin
+ usbtestsoc_crg_reset_delay <= (usbtestsoc_crg_reset_delay - 1'd1);
+ end
+ if (por_rst) begin
+ usbtestsoc_crg_reset_delay <= 12'd4095;
+ end
+end
+
+always @(posedge scope_clk) begin
+ trigger_enable_d <= trigger_enable;
+ trigger_mem_graycounter1_q_binary <= trigger_mem_graycounter1_q_next_binary;
+ trigger_mem_graycounter1_q <= trigger_mem_graycounter1_q_next;
+ if (subsampler_source_ready) begin
+ if (subsampler_done) begin
+ subsampler_counter <= 1'd0;
+ end else begin
+ if (subsampler_sink_valid) begin
+ subsampler_counter <= (subsampler_counter + 1'd1);
+ end
+ end
+ end
+ storage_enable_d <= storage_enable;
+ if (storage_mem_syncfifo_re) begin
+ storage_mem_readable <= 1'd1;
+ end else begin
+ if (storage_mem_re) begin
+ storage_mem_readable <= 1'd0;
+ end
+ end
+ if (((storage_mem_syncfifo_we & storage_mem_syncfifo_writable) & (~storage_mem_replace))) begin
+ storage_mem_produce <= (storage_mem_produce + 1'd1);
+ end
+ if (storage_mem_do_read) begin
+ storage_mem_consume <= (storage_mem_consume + 1'd1);
+ end
+ if (((storage_mem_syncfifo_we & storage_mem_syncfifo_writable) & (~storage_mem_replace))) begin
+ if ((~storage_mem_do_read)) begin
+ storage_mem_level0 <= (storage_mem_level0 + 1'd1);
+ end
+ end else begin
+ if (storage_mem_do_read) begin
+ storage_mem_level0 <= (storage_mem_level0 - 1'd1);
+ end
+ end
+ storage_cdc_graycounter0_q_binary <= storage_cdc_graycounter0_q_next_binary;
+ storage_cdc_graycounter0_q <= storage_cdc_graycounter0_q_next;
+ if (storage_wait) begin
+ if ((~storage_done1)) begin
+ storage_count <= (storage_count - 1'd1);
+ end
+ end else begin
+ storage_count <= 9'd256;
+ end
+ litescopeanalyzer_state <= litescopeanalyzer_next_state;
+ if (scope_rst) begin
+ trigger_enable_d <= 1'd0;
+ trigger_mem_graycounter1_q <= 5'd0;
+ trigger_mem_graycounter1_q_binary <= 5'd0;
+ subsampler_counter <= 16'd0;
+ storage_enable_d <= 1'd0;
+ storage_mem_readable <= 1'd0;
+ storage_mem_level0 <= 9'd0;
+ storage_mem_produce <= 8'd0;
+ storage_mem_consume <= 8'd0;
+ storage_cdc_graycounter0_q <= 3'd0;
+ storage_cdc_graycounter0_q_binary <= 3'd0;
+ storage_count <= 9'd256;
+ litescopeanalyzer_state <= 2'd0;
+ end
+ multiregimpl4_regs0 <= mux_value_storage;
+ multiregimpl4_regs1 <= multiregimpl4_regs0;
+ multiregimpl5_regs0 <= trigger_enable_storage;
+ multiregimpl5_regs1 <= multiregimpl5_regs0;
+ multiregimpl7_regs0 <= trigger_mem_graycounter0_q;
+ multiregimpl7_regs1 <= multiregimpl7_regs0;
+ multiregimpl9_regs0 <= subsampler_value_storage;
+ multiregimpl9_regs1 <= multiregimpl9_regs0;
+ multiregimpl10_regs0 <= storage_enable_storage;
+ multiregimpl10_regs1 <= multiregimpl10_regs0;
+ multiregimpl11_regs0 <= storage_length_storage;
+ multiregimpl11_regs1 <= multiregimpl11_regs0;
+ multiregimpl12_regs0 <= storage_offset_storage;
+ multiregimpl12_regs1 <= multiregimpl12_regs0;
+ multiregimpl15_regs0 <= storage_cdc_graycounter1_q;
+ multiregimpl15_regs1 <= multiregimpl15_regs0;
+end
+
+always @(posedge sys_clk) begin
+ if ((usbtestsoc_usbtestsoc_bus_errors != 32'd4294967295)) begin
+ if (usbtestsoc_usbtestsoc_bus_error) begin
+ usbtestsoc_usbtestsoc_bus_errors <= (usbtestsoc_usbtestsoc_bus_errors + 1'd1);
+ end
+ end
+ usbtestsoc_usbtestsoc_we <= 1'd0;
+ usbtestsoc_usbtestsoc_dat_w <= usbtestsoc_usbtestsoc_bus_wishbone_dat_w;
+ usbtestsoc_usbtestsoc_adr <= usbtestsoc_usbtestsoc_bus_wishbone_adr;
+ usbtestsoc_usbtestsoc_bus_wishbone_dat_r <= usbtestsoc_usbtestsoc_dat_r;
+ if ((usbtestsoc_usbtestsoc_counter == 1'd1)) begin
+ usbtestsoc_usbtestsoc_we <= usbtestsoc_usbtestsoc_bus_wishbone_we;
+ end
+ if ((usbtestsoc_usbtestsoc_counter == 2'd2)) begin
+ usbtestsoc_usbtestsoc_bus_wishbone_ack <= 1'd1;
+ end
+ if ((usbtestsoc_usbtestsoc_counter == 2'd3)) begin
+ usbtestsoc_usbtestsoc_bus_wishbone_ack <= 1'd0;
+ end
+ if ((usbtestsoc_usbtestsoc_counter != 1'd0)) begin
+ usbtestsoc_usbtestsoc_counter <= (usbtestsoc_usbtestsoc_counter + 1'd1);
+ end else begin
+ if ((usbtestsoc_usbtestsoc_bus_wishbone_cyc & usbtestsoc_usbtestsoc_bus_wishbone_stb)) begin
+ usbtestsoc_usbtestsoc_counter <= 1'd1;
+ end
+ end
+ if (usbtestsoc_usbtestsoc_en_storage) begin
+ if ((usbtestsoc_usbtestsoc_value == 1'd0)) begin
+ usbtestsoc_usbtestsoc_value <= usbtestsoc_usbtestsoc_reload_storage;
+ end else begin
+ usbtestsoc_usbtestsoc_value <= (usbtestsoc_usbtestsoc_value - 1'd1);
+ end
+ end else begin
+ usbtestsoc_usbtestsoc_value <= usbtestsoc_usbtestsoc_load_storage;
+ end
+ if (usbtestsoc_usbtestsoc_update_value_re) begin
+ usbtestsoc_usbtestsoc_value_status <= usbtestsoc_usbtestsoc_value;
+ end
+ if (usbtestsoc_usbtestsoc_zero_clear) begin
+ usbtestsoc_usbtestsoc_zero_pending <= 1'd0;
+ end
+ usbtestsoc_usbtestsoc_zero_old_trigger <= usbtestsoc_usbtestsoc_zero_trigger;
+ if (((~usbtestsoc_usbtestsoc_zero_trigger) & usbtestsoc_usbtestsoc_zero_old_trigger)) begin
+ usbtestsoc_usbtestsoc_zero_pending <= 1'd1;
+ end
+ if ((usbtestsoc_spiflash_i == 1'd0)) begin
+ usbtestsoc_spiflash_clk1 <= 1'd1;
+ usbtestsoc_spiflash_miso1 <= spiflash_miso;
+ end
+ if ((usbtestsoc_spiflash_i == 1'd1)) begin
+ usbtestsoc_spiflash_i <= 1'd0;
+ usbtestsoc_spiflash_clk1 <= 1'd0;
+ usbtestsoc_spiflash_sr <= {usbtestsoc_spiflash_sr[30:0], usbtestsoc_spiflash_miso1};
+ end else begin
+ usbtestsoc_spiflash_i <= (usbtestsoc_spiflash_i + 1'd1);
+ end
+ if ((((usbtestsoc_spiflash_bus_cyc & usbtestsoc_spiflash_bus_stb) & (usbtestsoc_spiflash_i == 1'd1)) & (usbtestsoc_spiflash_counter == 1'd0))) begin
+ usbtestsoc_spiflash_cs_n1 <= 1'd0;
+ usbtestsoc_spiflash_sr[31:24] <= 4'd11;
+ end
+ if ((usbtestsoc_spiflash_counter == 5'd16)) begin
+ usbtestsoc_spiflash_sr[31:8] <= {usbtestsoc_spiflash_bus_adr, {2{1'd0}}};
+ end
+ if ((usbtestsoc_spiflash_counter == 7'd64)) begin
+ end
+ if ((usbtestsoc_spiflash_counter == 8'd144)) begin
+ usbtestsoc_spiflash_bus_ack <= 1'd1;
+ usbtestsoc_spiflash_cs_n1 <= 1'd1;
+ end
+ if ((usbtestsoc_spiflash_counter == 8'd145)) begin
+ usbtestsoc_spiflash_bus_ack <= 1'd0;
+ end
+ if ((usbtestsoc_spiflash_counter == 8'd147)) begin
+ end
+ if ((usbtestsoc_spiflash_counter == 8'd147)) begin
+ usbtestsoc_spiflash_counter <= 1'd0;
+ end else begin
+ if ((usbtestsoc_spiflash_counter != 1'd0)) begin
+ usbtestsoc_spiflash_counter <= (usbtestsoc_spiflash_counter + 1'd1);
+ end else begin
+ if (((usbtestsoc_spiflash_bus_cyc & usbtestsoc_spiflash_bus_stb) & (usbtestsoc_spiflash_i == 1'd1))) begin
+ usbtestsoc_spiflash_counter <= 1'd1;
+ end
+ end
+ end
+ if (uartwishbonebridge_byte_counter_reset) begin
+ uartwishbonebridge_byte_counter <= 1'd0;
+ end else begin
+ if (uartwishbonebridge_byte_counter_ce) begin
+ uartwishbonebridge_byte_counter <= (uartwishbonebridge_byte_counter + 1'd1);
+ end
+ end
+ if (uartwishbonebridge_word_counter_reset) begin
+ uartwishbonebridge_word_counter <= 1'd0;
+ end else begin
+ if (uartwishbonebridge_word_counter_ce) begin
+ uartwishbonebridge_word_counter <= (uartwishbonebridge_word_counter + 1'd1);
+ end
+ end
+ if (uartwishbonebridge_cmd_ce) begin
+ uartwishbonebridge_cmd <= uartwishbonebridge_source_payload_data;
+ end
+ if (uartwishbonebridge_length_ce) begin
+ uartwishbonebridge_length <= uartwishbonebridge_source_payload_data;
+ end
+ if (uartwishbonebridge_address_ce) begin
+ uartwishbonebridge_address <= {uartwishbonebridge_address[23:0], uartwishbonebridge_source_payload_data};
+ end
+ if (uartwishbonebridge_rx_data_ce) begin
+ uartwishbonebridge_data <= {uartwishbonebridge_data[23:0], uartwishbonebridge_source_payload_data};
+ end else begin
+ if (uartwishbonebridge_tx_data_ce) begin
+ uartwishbonebridge_data <= uartwishbonebridge_wishbone_dat_r;
+ end
+ end
+ uartwishbonebridge_sink_ready <= 1'd0;
+ if (((uartwishbonebridge_sink_valid & (~uartwishbonebridge_tx_busy)) & (~uartwishbonebridge_sink_ready))) begin
+ uartwishbonebridge_tx_reg <= uartwishbonebridge_sink_payload_data;
+ uartwishbonebridge_tx_bitcount <= 1'd0;
+ uartwishbonebridge_tx_busy <= 1'd1;
+ serial_tx <= 1'd0;
+ end else begin
+ if ((uartwishbonebridge_uart_clk_txen & uartwishbonebridge_tx_busy)) begin
+ uartwishbonebridge_tx_bitcount <= (uartwishbonebridge_tx_bitcount + 1'd1);
+ if ((uartwishbonebridge_tx_bitcount == 4'd8)) begin
+ serial_tx <= 1'd1;
+ end else begin
+ if ((uartwishbonebridge_tx_bitcount == 4'd9)) begin
+ serial_tx <= 1'd1;
+ uartwishbonebridge_tx_busy <= 1'd0;
+ uartwishbonebridge_sink_ready <= 1'd1;
+ end else begin
+ serial_tx <= uartwishbonebridge_tx_reg[0];
+ uartwishbonebridge_tx_reg <= {1'd0, uartwishbonebridge_tx_reg[7:1]};
+ end
+ end
+ end
+ end
+ if (uartwishbonebridge_tx_busy) begin
+ {uartwishbonebridge_uart_clk_txen, uartwishbonebridge_phase_accumulator_tx} <= (uartwishbonebridge_phase_accumulator_tx + uartwishbonebridge_storage);
+ end else begin
+ {uartwishbonebridge_uart_clk_txen, uartwishbonebridge_phase_accumulator_tx} <= 1'd0;
+ end
+ uartwishbonebridge_source_valid <= 1'd0;
+ uartwishbonebridge_rx_r <= uartwishbonebridge_rx;
+ if ((~uartwishbonebridge_rx_busy)) begin
+ if (((~uartwishbonebridge_rx) & uartwishbonebridge_rx_r)) begin
+ uartwishbonebridge_rx_busy <= 1'd1;
+ uartwishbonebridge_rx_bitcount <= 1'd0;
+ end
+ end else begin
+ if (uartwishbonebridge_uart_clk_rxen) begin
+ uartwishbonebridge_rx_bitcount <= (uartwishbonebridge_rx_bitcount + 1'd1);
+ if ((uartwishbonebridge_rx_bitcount == 1'd0)) begin
+ if (uartwishbonebridge_rx) begin
+ uartwishbonebridge_rx_busy <= 1'd0;
+ end
+ end else begin
+ if ((uartwishbonebridge_rx_bitcount == 4'd9)) begin
+ uartwishbonebridge_rx_busy <= 1'd0;
+ if (uartwishbonebridge_rx) begin
+ uartwishbonebridge_source_payload_data <= uartwishbonebridge_rx_reg;
+ uartwishbonebridge_source_valid <= 1'd1;
+ end
+ end else begin
+ uartwishbonebridge_rx_reg <= {uartwishbonebridge_rx, uartwishbonebridge_rx_reg[7:1]};
+ end
+ end
+ end
+ end
+ if (uartwishbonebridge_rx_busy) begin
+ {uartwishbonebridge_uart_clk_rxen, uartwishbonebridge_phase_accumulator_rx} <= (uartwishbonebridge_phase_accumulator_rx + uartwishbonebridge_storage);
+ end else begin
+ {uartwishbonebridge_uart_clk_rxen, uartwishbonebridge_phase_accumulator_rx} <= 32'd2147483648;
+ end
+ uartwishbonebridge_state <= uartwishbonebridge_next_state;
+ if (uartwishbonebridge_reset) begin
+ uartwishbonebridge_state <= 3'd0;
+ end
+ if (uartwishbonebridge_wait) begin
+ if ((~uartwishbonebridge_done)) begin
+ uartwishbonebridge_count <= (uartwishbonebridge_count - 1'd1);
+ end
+ end else begin
+ uartwishbonebridge_count <= 21'd1600000;
+ end
+ usbdevice_tx_pkt_start <= (usbdevice_in_tx_pkt_start | usbdevice_out_tx_pkt_start);
+ usbdevice_tx_pid <= (usbdevice_out_tx_pkt_start ? usbdevice_out_tx_pid : usbdevice_in_tx_pid);
+ usbdevice_valid_request_token <= usbdevice_valid_request_token_pre;
+ if (usbdevice_valid_request_token) begin
+ usbdevice_current_token <= usbdevice_usbfsrx_o_pkt_pid;
+ usbdevice_current_endp <= usbdevice_rx_endp;
+ end
+ usbdevice_usbfsrx_line_state_valid <= (usbdevice_usbfsrx_line_state_phase == 1'd1);
+ if (usbdevice_usbfsrx_line_state_dt) begin
+ usbdevice_usbfsrx_line_state_phase <= 1'd0;
+ usbdevice_usbfsrx_line_state_valid <= 1'd0;
+ end else begin
+ usbdevice_usbfsrx_line_state_phase <= (usbdevice_usbfsrx_line_state_phase + 1'd1);
+ end
+ usbdevice_usbfsrx_line_state_dj1 <= usbdevice_usbfsrx_line_state_dj0;
+ usbdevice_usbfsrx_line_state_dk1 <= usbdevice_usbfsrx_line_state_dk0;
+ usbdevice_usbfsrx_line_state_se01 <= usbdevice_usbfsrx_line_state_se00;
+ usbdevice_usbfsrx_line_state_se11 <= usbdevice_usbfsrx_line_state_se10;
+ rxclockdatarecovery_state <= rxclockdatarecovery_next_state;
+ usbdevice_usbfsrx_nrzi_o_se0 <= usbdevice_usbfsrx_line_state_se01;
+ usbdevice_usbfsrx_nrzi_o_valid1 <= usbdevice_usbfsrx_nrzi_o_valid0;
+ usbdevice_usbfsrx_nrzi_o_data1 <= usbdevice_usbfsrx_nrzi_o_data0;
+ rxnrzidecoder_state <= rxnrzidecoder_next_state;
+ usbdevice_usbfsrx_bitstuff_o_se0 <= usbdevice_usbfsrx_nrzi_o_se0;
+ usbdevice_usbfsrx_bitstuff_o_valid <= (usbdevice_usbfsrx_nrzi_o_valid1 & (~usbdevice_usbfsrx_bitstuff_drop_bit));
+ usbdevice_usbfsrx_bitstuff_o_data <= usbdevice_usbfsrx_nrzi_o_data1;
+ usbdevice_usbfsrx_bitstuff_o_bitstuff_error <= (usbdevice_usbfsrx_bitstuff_drop_bit & usbdevice_usbfsrx_nrzi_o_data1);
+ rxbitstuffremover_state <= rxbitstuffremover_next_state;
+ usbdevice_usbfsrx_valid <= usbdevice_usbfsrx_bitstuff_o_valid;
+ usbdevice_usbfsrx_data <= usbdevice_usbfsrx_bitstuff_o_data;
+ usbdevice_usbfsrx_se0 <= usbdevice_usbfsrx_bitstuff_o_se0;
+ usbdevice_usbfsrx_bitstuff_error <= usbdevice_usbfsrx_bitstuff_o_bitstuff_error;
+ usbdevice_usbfsrx_pkt_active1 <= usbdevice_usbfsrx_o_pkt_active;
+ usbdevice_usbfsrx_pkt_end1 <= usbdevice_usbfsrx_o_pkt_end0;
+ if (usbdevice_usbfsrx_o_pkt_start0) begin
+ usbdevice_usbfsrx_pkt_bitstuff_good <= 1'd1;
+ end else begin
+ if ((usbdevice_usbfsrx_pkt_active1 & usbdevice_usbfsrx_bitstuff_error)) begin
+ usbdevice_usbfsrx_pkt_bitstuff_good <= 1'd0;
+ end
+ end
+ if (usbdevice_usbfsrx_o_pkt_data_put) begin
+ usbdevice_usbfsrx_crc16_good <= usbdevice_usbfsrx_data_crc16_o_crc_good;
+ end
+ usbdevice_usbfsrx_o_pkt_start1 <= usbdevice_usbfsrx_o_pkt_start0;
+ usbdevice_usbfsrx_o_pkt_pid <= usbdevice_usbfsrx_pid_shifter_o_output[3:0];
+ usbdevice_usbfsrx_o_pkt_token_payload <= usbdevice_usbfsrx_tok_shifter_o_output[10:0];
+ usbdevice_usbfsrx_o_pkt_data <= usbdevice_usbfsrx_data_shifter_o_output[7:0];
+ usbdevice_usbfsrx_o_pkt_data_put <= usbdevice_usbfsrx_data_put;
+ usbdevice_usbfsrx_o_pkt_good <= ((((usbdevice_usbfsrx_pid_shifter_o_output[3:0] == (usbdevice_usbfsrx_pid_shifter_o_output[7:4] ^ 4'd15)) & usbdevice_usbfsrx_pkt_bitstuff_good) & (usbdevice_usbfsrx_tok_crc5_o_crc_good | (~(usbdevice_usbfsrx_pid_shifter_o_output[1:0] == 1'd1)))) & (usbdevice_usbfsrx_crc16_good | (~(usbdevice_usbfsrx_pid_shifter_o_output[1:0] == 2'd3))));
+ usbdevice_usbfsrx_o_pkt_end1 <= usbdevice_usbfsrx_pkt_end1;
+ usbdevice_usbfsrx_o_pkt_start0 <= usbdevice_usbfsrx_pkt_start;
+ usbdevice_usbfsrx_o_pkt_active <= usbdevice_usbfsrx_pkt_active0;
+ usbdevice_usbfsrx_o_pkt_end0 <= usbdevice_usbfsrx_pkt_end0;
+ rxpacketdecode_state <= rxpacketdecode_next_state;
+ if (usbdevice_usbfsrx_o_pkt_start0) begin
+ usbdevice_usbfsrx_pid_shifter_shift_reg <= 9'd256;
+ end else begin
+ if (((usbdevice_usbfsrx_pkt_active1 & usbdevice_usbfsrx_valid) & (~usbdevice_usbfsrx_pid_shifter_o_full))) begin
+ usbdevice_usbfsrx_pid_shifter_shift_reg <= {usbdevice_usbfsrx_data, usbdevice_usbfsrx_pid_shifter_shift_reg[8:1]};
+ end
+ end
+ usbdevice_usbfsrx_pid_shifter_o_put <= ((usbdevice_usbfsrx_pid_shifter_shift_reg[1:0] == 2'd2) & (usbdevice_usbfsrx_pkt_active1 & usbdevice_usbfsrx_valid));
+ if (usbdevice_usbfsrx_o_pkt_start0) begin
+ usbdevice_usbfsrx_tok_shifter_shift_reg <= 17'd65536;
+ end else begin
+ if (((usbdevice_usbfsrx_pid_shifter_o_full & usbdevice_usbfsrx_valid) & (~usbdevice_usbfsrx_tok_shifter_o_full))) begin
+ usbdevice_usbfsrx_tok_shifter_shift_reg <= {usbdevice_usbfsrx_data, usbdevice_usbfsrx_tok_shifter_shift_reg[16:1]};
+ end
+ end
+ usbdevice_usbfsrx_tok_shifter_o_put <= ((usbdevice_usbfsrx_tok_shifter_shift_reg[1:0] == 2'd2) & (usbdevice_usbfsrx_pid_shifter_o_full & usbdevice_usbfsrx_valid));
+ if (usbdevice_usbfsrx_o_pkt_start0) begin
+ usbdevice_usbfsrx_tok_crc5_crc[0] <= 1'd1;
+ end else begin
+ if (((usbdevice_usbfsrx_pid_shifter_o_full & usbdevice_usbfsrx_valid) & (~usbdevice_usbfsrx_tok_shifter_o_full))) begin
+ usbdevice_usbfsrx_tok_crc5_crc[0] <= usbdevice_usbfsrx_tok_crc5_crc_invert;
+ end
+ end
+ if (usbdevice_usbfsrx_o_pkt_start0) begin
+ usbdevice_usbfsrx_tok_crc5_crc[1] <= 1'd1;
+ end else begin
+ if (((usbdevice_usbfsrx_pid_shifter_o_full & usbdevice_usbfsrx_valid) & (~usbdevice_usbfsrx_tok_shifter_o_full))) begin
+ usbdevice_usbfsrx_tok_crc5_crc[1] <= usbdevice_usbfsrx_tok_crc5_crc[0];
+ end
+ end
+ if (usbdevice_usbfsrx_o_pkt_start0) begin
+ usbdevice_usbfsrx_tok_crc5_crc[2] <= 1'd1;
+ end else begin
+ if (((usbdevice_usbfsrx_pid_shifter_o_full & usbdevice_usbfsrx_valid) & (~usbdevice_usbfsrx_tok_shifter_o_full))) begin
+ usbdevice_usbfsrx_tok_crc5_crc[2] <= (usbdevice_usbfsrx_tok_crc5_crc[1] ^ usbdevice_usbfsrx_tok_crc5_crc_invert);
+ end
+ end
+ if (usbdevice_usbfsrx_o_pkt_start0) begin
+ usbdevice_usbfsrx_tok_crc5_crc[3] <= 1'd1;
+ end else begin
+ if (((usbdevice_usbfsrx_pid_shifter_o_full & usbdevice_usbfsrx_valid) & (~usbdevice_usbfsrx_tok_shifter_o_full))) begin
+ usbdevice_usbfsrx_tok_crc5_crc[3] <= usbdevice_usbfsrx_tok_crc5_crc[2];
+ end
+ end
+ if (usbdevice_usbfsrx_o_pkt_start0) begin
+ usbdevice_usbfsrx_tok_crc5_crc[4] <= 1'd1;
+ end else begin
+ if (((usbdevice_usbfsrx_pid_shifter_o_full & usbdevice_usbfsrx_valid) & (~usbdevice_usbfsrx_tok_shifter_o_full))) begin
+ usbdevice_usbfsrx_tok_crc5_crc[4] <= usbdevice_usbfsrx_tok_crc5_crc[3];
+ end
+ end
+ usbdevice_usbfsrx_tok_crc5_o_crc_good <= usbdevice_usbfsrx_tok_crc5_crc_good;
+ if ((usbdevice_usbfsrx_o_pkt_start0 | usbdevice_usbfsrx_data_put)) begin
+ usbdevice_usbfsrx_data_shifter_shift_reg <= 9'd256;
+ end else begin
+ if (((((usbdevice_usbfsrx_pid_shifter_o_output[1:0] == 2'd3) & usbdevice_usbfsrx_pid_shifter_o_full) & usbdevice_usbfsrx_valid) & (~usbdevice_usbfsrx_data_shifter_o_full))) begin
+ usbdevice_usbfsrx_data_shifter_shift_reg <= {usbdevice_usbfsrx_data, usbdevice_usbfsrx_data_shifter_shift_reg[8:1]};
+ end
+ end
+ usbdevice_usbfsrx_data_shifter_o_put <= ((usbdevice_usbfsrx_data_shifter_shift_reg[1:0] == 2'd2) & (((usbdevice_usbfsrx_pid_shifter_o_output[1:0] == 2'd3) & usbdevice_usbfsrx_pid_shifter_o_full) & usbdevice_usbfsrx_valid));
+ if (usbdevice_usbfsrx_o_pkt_start0) begin
+ usbdevice_usbfsrx_data_crc16_crc[0] <= 1'd1;
+ end else begin
+ if (((usbdevice_usbfsrx_pid_shifter_o_full & usbdevice_usbfsrx_valid) & usbdevice_usbfsrx_pkt_active1)) begin
+ usbdevice_usbfsrx_data_crc16_crc[0] <= usbdevice_usbfsrx_data_crc16_crc_invert;
+ end
+ end
+ if (usbdevice_usbfsrx_o_pkt_start0) begin
+ usbdevice_usbfsrx_data_crc16_crc[1] <= 1'd1;
+ end else begin
+ if (((usbdevice_usbfsrx_pid_shifter_o_full & usbdevice_usbfsrx_valid) & usbdevice_usbfsrx_pkt_active1)) begin
+ usbdevice_usbfsrx_data_crc16_crc[1] <= usbdevice_usbfsrx_data_crc16_crc[0];
+ end
+ end
+ if (usbdevice_usbfsrx_o_pkt_start0) begin
+ usbdevice_usbfsrx_data_crc16_crc[2] <= 1'd1;
+ end else begin
+ if (((usbdevice_usbfsrx_pid_shifter_o_full & usbdevice_usbfsrx_valid) & usbdevice_usbfsrx_pkt_active1)) begin
+ usbdevice_usbfsrx_data_crc16_crc[2] <= (usbdevice_usbfsrx_data_crc16_crc[1] ^ usbdevice_usbfsrx_data_crc16_crc_invert);
+ end
+ end
+ if (usbdevice_usbfsrx_o_pkt_start0) begin
+ usbdevice_usbfsrx_data_crc16_crc[3] <= 1'd1;
+ end else begin
+ if (((usbdevice_usbfsrx_pid_shifter_o_full & usbdevice_usbfsrx_valid) & usbdevice_usbfsrx_pkt_active1)) begin
+ usbdevice_usbfsrx_data_crc16_crc[3] <= usbdevice_usbfsrx_data_crc16_crc[2];
+ end
+ end
+ if (usbdevice_usbfsrx_o_pkt_start0) begin
+ usbdevice_usbfsrx_data_crc16_crc[4] <= 1'd1;
+ end else begin
+ if (((usbdevice_usbfsrx_pid_shifter_o_full & usbdevice_usbfsrx_valid) & usbdevice_usbfsrx_pkt_active1)) begin
+ usbdevice_usbfsrx_data_crc16_crc[4] <= usbdevice_usbfsrx_data_crc16_crc[3];
+ end
+ end
+ if (usbdevice_usbfsrx_o_pkt_start0) begin
+ usbdevice_usbfsrx_data_crc16_crc[5] <= 1'd1;
+ end else begin
+ if (((usbdevice_usbfsrx_pid_shifter_o_full & usbdevice_usbfsrx_valid) & usbdevice_usbfsrx_pkt_active1)) begin
+ usbdevice_usbfsrx_data_crc16_crc[5] <= usbdevice_usbfsrx_data_crc16_crc[4];
+ end
+ end
+ if (usbdevice_usbfsrx_o_pkt_start0) begin
+ usbdevice_usbfsrx_data_crc16_crc[6] <= 1'd1;
+ end else begin
+ if (((usbdevice_usbfsrx_pid_shifter_o_full & usbdevice_usbfsrx_valid) & usbdevice_usbfsrx_pkt_active1)) begin
+ usbdevice_usbfsrx_data_crc16_crc[6] <= usbdevice_usbfsrx_data_crc16_crc[5];
+ end
+ end
+ if (usbdevice_usbfsrx_o_pkt_start0) begin
+ usbdevice_usbfsrx_data_crc16_crc[7] <= 1'd1;
+ end else begin
+ if (((usbdevice_usbfsrx_pid_shifter_o_full & usbdevice_usbfsrx_valid) & usbdevice_usbfsrx_pkt_active1)) begin
+ usbdevice_usbfsrx_data_crc16_crc[7] <= usbdevice_usbfsrx_data_crc16_crc[6];
+ end
+ end
+ if (usbdevice_usbfsrx_o_pkt_start0) begin
+ usbdevice_usbfsrx_data_crc16_crc[8] <= 1'd1;
+ end else begin
+ if (((usbdevice_usbfsrx_pid_shifter_o_full & usbdevice_usbfsrx_valid) & usbdevice_usbfsrx_pkt_active1)) begin
+ usbdevice_usbfsrx_data_crc16_crc[8] <= usbdevice_usbfsrx_data_crc16_crc[7];
+ end
+ end
+ if (usbdevice_usbfsrx_o_pkt_start0) begin
+ usbdevice_usbfsrx_data_crc16_crc[9] <= 1'd1;
+ end else begin
+ if (((usbdevice_usbfsrx_pid_shifter_o_full & usbdevice_usbfsrx_valid) & usbdevice_usbfsrx_pkt_active1)) begin
+ usbdevice_usbfsrx_data_crc16_crc[9] <= usbdevice_usbfsrx_data_crc16_crc[8];
+ end
+ end
+ if (usbdevice_usbfsrx_o_pkt_start0) begin
+ usbdevice_usbfsrx_data_crc16_crc[10] <= 1'd1;
+ end else begin
+ if (((usbdevice_usbfsrx_pid_shifter_o_full & usbdevice_usbfsrx_valid) & usbdevice_usbfsrx_pkt_active1)) begin
+ usbdevice_usbfsrx_data_crc16_crc[10] <= usbdevice_usbfsrx_data_crc16_crc[9];
+ end
+ end
+ if (usbdevice_usbfsrx_o_pkt_start0) begin
+ usbdevice_usbfsrx_data_crc16_crc[11] <= 1'd1;
+ end else begin
+ if (((usbdevice_usbfsrx_pid_shifter_o_full & usbdevice_usbfsrx_valid) & usbdevice_usbfsrx_pkt_active1)) begin
+ usbdevice_usbfsrx_data_crc16_crc[11] <= usbdevice_usbfsrx_data_crc16_crc[10];
+ end
+ end
+ if (usbdevice_usbfsrx_o_pkt_start0) begin
+ usbdevice_usbfsrx_data_crc16_crc[12] <= 1'd1;
+ end else begin
+ if (((usbdevice_usbfsrx_pid_shifter_o_full & usbdevice_usbfsrx_valid) & usbdevice_usbfsrx_pkt_active1)) begin
+ usbdevice_usbfsrx_data_crc16_crc[12] <= usbdevice_usbfsrx_data_crc16_crc[11];
+ end
+ end
+ if (usbdevice_usbfsrx_o_pkt_start0) begin
+ usbdevice_usbfsrx_data_crc16_crc[13] <= 1'd1;
+ end else begin
+ if (((usbdevice_usbfsrx_pid_shifter_o_full & usbdevice_usbfsrx_valid) & usbdevice_usbfsrx_pkt_active1)) begin
+ usbdevice_usbfsrx_data_crc16_crc[13] <= usbdevice_usbfsrx_data_crc16_crc[12];
+ end
+ end
+ if (usbdevice_usbfsrx_o_pkt_start0) begin
+ usbdevice_usbfsrx_data_crc16_crc[14] <= 1'd1;
+ end else begin
+ if (((usbdevice_usbfsrx_pid_shifter_o_full & usbdevice_usbfsrx_valid) & usbdevice_usbfsrx_pkt_active1)) begin
+ usbdevice_usbfsrx_data_crc16_crc[14] <= usbdevice_usbfsrx_data_crc16_crc[13];
+ end
+ end
+ if (usbdevice_usbfsrx_o_pkt_start0) begin
+ usbdevice_usbfsrx_data_crc16_crc[15] <= 1'd1;
+ end else begin
+ if (((usbdevice_usbfsrx_pid_shifter_o_full & usbdevice_usbfsrx_valid) & usbdevice_usbfsrx_pkt_active1)) begin
+ usbdevice_usbfsrx_data_crc16_crc[15] <= (usbdevice_usbfsrx_data_crc16_crc[14] ^ usbdevice_usbfsrx_data_crc16_crc_invert);
+ end
+ end
+ usbdevice_usbfsrx_data_crc16_o_crc_good <= usbdevice_usbfsrx_data_crc16_crc_good;
+ if (usbdevice_tx_pkt_start) begin
+ usbdevice_usbfstx_pid_is_data <= (usbdevice_tx_pid[1:0] == 2'd3);
+ end
+ usbdevice_usbfstx_mux_stuff_bit_strobe <= usbdevice_usbfsrx_line_state_valid;
+ usbdevice_usbfstx_mux_stuff_oe <= usbdevice_usbfstx_pkt_active;
+ usbdevice_usbfstx_mux_stuff_se0 <= 1'd0;
+ usbdevice_usbfstx_mux_stuff_data <= 1'd0;
+ if (usbdevice_usbfstx_shift_sync) begin
+ usbdevice_usbfstx_mux_stuff_se0 <= 1'd0;
+ usbdevice_usbfstx_mux_stuff_data <= usbdevice_usbfstx_sync_shifter_o_data;
+ end else begin
+ if (usbdevice_usbfstx_shift_pid) begin
+ usbdevice_usbfstx_mux_stuff_se0 <= 1'd0;
+ usbdevice_usbfstx_mux_stuff_data <= usbdevice_usbfstx_pid_shifter_o_data;
+ end else begin
+ if (usbdevice_usbfstx_shift_data) begin
+ usbdevice_usbfstx_mux_stuff_se0 <= 1'd0;
+ usbdevice_usbfstx_mux_stuff_data <= usbdevice_usbfstx_data_shifter_o_data;
+ end else begin
+ if (usbdevice_usbfstx_shift_crc16) begin
+ usbdevice_usbfstx_mux_stuff_se0 <= 1'd0;
+ usbdevice_usbfstx_mux_stuff_data <= usbdevice_usbfstx_crc16_shifter_o_data;
+ end else begin
+ if (usbdevice_usbfstx_shift_eop) begin
+ usbdevice_usbfstx_mux_stuff_se0 <= 1'd1;
+ usbdevice_usbfstx_mux_stuff_data <= 1'd0;
+ end
+ end
+ end
+ end
+ end
+ usbdevice_usbfstx_o_data_get <= usbdevice_usbfstx_load_data;
+ usbdevice_usbfstx_o_usbp <= usbdevice_usbfstx_nrzi_o_usbp;
+ usbdevice_usbfstx_o_usbn <= usbdevice_usbfstx_nrzi_o_usbn;
+ usbdevice_usbfstx_o_oe <= usbdevice_usbfstx_nrzi_o_oe;
+ fsm_state <= fsm_next_state;
+ if (usbdevice_tx_pkt_start) begin
+ usbdevice_usbfstx_sync_shifter_shifter <= {1'd1, slice_proxy0[7:0]};
+ end else begin
+ if (((usbdevice_usbfstx_shift_sync & usbdevice_usbfsrx_line_state_valid) & (~usbdevice_usbfstx_bitstuff_stall))) begin
+ usbdevice_usbfstx_sync_shifter_shifter <= (usbdevice_usbfstx_sync_shifter_shifter >>> 1'd1);
+ end
+ end
+ if (((usbdevice_usbfstx_sync_shifter_shifter[8:1] == 8'd1) & ((usbdevice_usbfstx_shift_sync & usbdevice_usbfsrx_line_state_valid) & (~usbdevice_usbfstx_bitstuff_stall)))) begin
+ usbdevice_usbfstx_sync_shifter_not_empty <= 1'd0;
+ end else begin
+ if (usbdevice_tx_pkt_start) begin
+ usbdevice_usbfstx_sync_shifter_not_empty <= 1'd1;
+ end
+ end
+ if (usbdevice_tx_pkt_start) begin
+ usbdevice_usbfstx_pid_shifter_shifter <= {1'd1, slice_proxy1[7:0]};
+ end else begin
+ if (((usbdevice_usbfstx_shift_pid & usbdevice_usbfsrx_line_state_valid) & (~usbdevice_usbfstx_bitstuff_stall))) begin
+ usbdevice_usbfstx_pid_shifter_shifter <= (usbdevice_usbfstx_pid_shifter_shifter >>> 1'd1);
+ end
+ end
+ if (((usbdevice_usbfstx_pid_shifter_shifter[8:1] == 8'd1) & ((usbdevice_usbfstx_shift_pid & usbdevice_usbfsrx_line_state_valid) & (~usbdevice_usbfstx_bitstuff_stall)))) begin
+ usbdevice_usbfstx_pid_shifter_not_empty <= 1'd0;
+ end else begin
+ if (usbdevice_tx_pkt_start) begin
+ usbdevice_usbfstx_pid_shifter_not_empty <= 1'd1;
+ end
+ end
+ if (usbdevice_usbfstx_load_data) begin
+ usbdevice_usbfstx_data_shifter_shifter <= {1'd1, usbdevice_tx_data[7:0]};
+ end else begin
+ if (((usbdevice_usbfstx_shift_data & usbdevice_usbfsrx_line_state_valid) & (~usbdevice_usbfstx_bitstuff_stall))) begin
+ usbdevice_usbfstx_data_shifter_shifter <= (usbdevice_usbfstx_data_shifter_shifter >>> 1'd1);
+ end
+ end
+ if (((usbdevice_usbfstx_data_shifter_shifter[8:1] == 8'd1) & ((usbdevice_usbfstx_shift_data & usbdevice_usbfsrx_line_state_valid) & (~usbdevice_usbfstx_bitstuff_stall)))) begin
+ usbdevice_usbfstx_data_shifter_not_empty <= 1'd0;
+ end else begin
+ if (usbdevice_usbfstx_load_data) begin
+ usbdevice_usbfstx_data_shifter_not_empty <= 1'd1;
+ end
+ end
+ if (usbdevice_tx_pkt_start) begin
+ usbdevice_usbfstx_crc[0] <= 1'd1;
+ end else begin
+ if (((usbdevice_usbfstx_shift_data & usbdevice_usbfsrx_line_state_valid) & (~usbdevice_usbfstx_bitstuff_stall))) begin
+ usbdevice_usbfstx_crc[0] <= usbdevice_usbfstx_crc_invert;
+ end
+ end
+ if (usbdevice_tx_pkt_start) begin
+ usbdevice_usbfstx_crc[1] <= 1'd1;
+ end else begin
+ if (((usbdevice_usbfstx_shift_data & usbdevice_usbfsrx_line_state_valid) & (~usbdevice_usbfstx_bitstuff_stall))) begin
+ usbdevice_usbfstx_crc[1] <= usbdevice_usbfstx_crc[0];
+ end
+ end
+ if (usbdevice_tx_pkt_start) begin
+ usbdevice_usbfstx_crc[2] <= 1'd1;
+ end else begin
+ if (((usbdevice_usbfstx_shift_data & usbdevice_usbfsrx_line_state_valid) & (~usbdevice_usbfstx_bitstuff_stall))) begin
+ usbdevice_usbfstx_crc[2] <= (usbdevice_usbfstx_crc[1] ^ usbdevice_usbfstx_crc_invert);
+ end
+ end
+ if (usbdevice_tx_pkt_start) begin
+ usbdevice_usbfstx_crc[3] <= 1'd1;
+ end else begin
+ if (((usbdevice_usbfstx_shift_data & usbdevice_usbfsrx_line_state_valid) & (~usbdevice_usbfstx_bitstuff_stall))) begin
+ usbdevice_usbfstx_crc[3] <= usbdevice_usbfstx_crc[2];
+ end
+ end
+ if (usbdevice_tx_pkt_start) begin
+ usbdevice_usbfstx_crc[4] <= 1'd1;
+ end else begin
+ if (((usbdevice_usbfstx_shift_data & usbdevice_usbfsrx_line_state_valid) & (~usbdevice_usbfstx_bitstuff_stall))) begin
+ usbdevice_usbfstx_crc[4] <= usbdevice_usbfstx_crc[3];
+ end
+ end
+ if (usbdevice_tx_pkt_start) begin
+ usbdevice_usbfstx_crc[5] <= 1'd1;
+ end else begin
+ if (((usbdevice_usbfstx_shift_data & usbdevice_usbfsrx_line_state_valid) & (~usbdevice_usbfstx_bitstuff_stall))) begin
+ usbdevice_usbfstx_crc[5] <= usbdevice_usbfstx_crc[4];
+ end
+ end
+ if (usbdevice_tx_pkt_start) begin
+ usbdevice_usbfstx_crc[6] <= 1'd1;
+ end else begin
+ if (((usbdevice_usbfstx_shift_data & usbdevice_usbfsrx_line_state_valid) & (~usbdevice_usbfstx_bitstuff_stall))) begin
+ usbdevice_usbfstx_crc[6] <= usbdevice_usbfstx_crc[5];
+ end
+ end
+ if (usbdevice_tx_pkt_start) begin
+ usbdevice_usbfstx_crc[7] <= 1'd1;
+ end else begin
+ if (((usbdevice_usbfstx_shift_data & usbdevice_usbfsrx_line_state_valid) & (~usbdevice_usbfstx_bitstuff_stall))) begin
+ usbdevice_usbfstx_crc[7] <= usbdevice_usbfstx_crc[6];
+ end
+ end
+ if (usbdevice_tx_pkt_start) begin
+ usbdevice_usbfstx_crc[8] <= 1'd1;
+ end else begin
+ if (((usbdevice_usbfstx_shift_data & usbdevice_usbfsrx_line_state_valid) & (~usbdevice_usbfstx_bitstuff_stall))) begin
+ usbdevice_usbfstx_crc[8] <= usbdevice_usbfstx_crc[7];
+ end
+ end
+ if (usbdevice_tx_pkt_start) begin
+ usbdevice_usbfstx_crc[9] <= 1'd1;
+ end else begin
+ if (((usbdevice_usbfstx_shift_data & usbdevice_usbfsrx_line_state_valid) & (~usbdevice_usbfstx_bitstuff_stall))) begin
+ usbdevice_usbfstx_crc[9] <= usbdevice_usbfstx_crc[8];
+ end
+ end
+ if (usbdevice_tx_pkt_start) begin
+ usbdevice_usbfstx_crc[10] <= 1'd1;
+ end else begin
+ if (((usbdevice_usbfstx_shift_data & usbdevice_usbfsrx_line_state_valid) & (~usbdevice_usbfstx_bitstuff_stall))) begin
+ usbdevice_usbfstx_crc[10] <= usbdevice_usbfstx_crc[9];
+ end
+ end
+ if (usbdevice_tx_pkt_start) begin
+ usbdevice_usbfstx_crc[11] <= 1'd1;
+ end else begin
+ if (((usbdevice_usbfstx_shift_data & usbdevice_usbfsrx_line_state_valid) & (~usbdevice_usbfstx_bitstuff_stall))) begin
+ usbdevice_usbfstx_crc[11] <= usbdevice_usbfstx_crc[10];
+ end
+ end
+ if (usbdevice_tx_pkt_start) begin
+ usbdevice_usbfstx_crc[12] <= 1'd1;
+ end else begin
+ if (((usbdevice_usbfstx_shift_data & usbdevice_usbfsrx_line_state_valid) & (~usbdevice_usbfstx_bitstuff_stall))) begin
+ usbdevice_usbfstx_crc[12] <= usbdevice_usbfstx_crc[11];
+ end
+ end
+ if (usbdevice_tx_pkt_start) begin
+ usbdevice_usbfstx_crc[13] <= 1'd1;
+ end else begin
+ if (((usbdevice_usbfstx_shift_data & usbdevice_usbfsrx_line_state_valid) & (~usbdevice_usbfstx_bitstuff_stall))) begin
+ usbdevice_usbfstx_crc[13] <= usbdevice_usbfstx_crc[12];
+ end
+ end
+ if (usbdevice_tx_pkt_start) begin
+ usbdevice_usbfstx_crc[14] <= 1'd1;
+ end else begin
+ if (((usbdevice_usbfstx_shift_data & usbdevice_usbfsrx_line_state_valid) & (~usbdevice_usbfstx_bitstuff_stall))) begin
+ usbdevice_usbfstx_crc[14] <= usbdevice_usbfstx_crc[13];
+ end
+ end
+ if (usbdevice_tx_pkt_start) begin
+ usbdevice_usbfstx_crc[15] <= 1'd1;
+ end else begin
+ if (((usbdevice_usbfstx_shift_data & usbdevice_usbfsrx_line_state_valid) & (~usbdevice_usbfstx_bitstuff_stall))) begin
+ usbdevice_usbfstx_crc[15] <= (usbdevice_usbfstx_crc[14] ^ usbdevice_usbfstx_crc_invert);
+ end
+ end
+ if (usbdevice_usbfstx_load_crc16) begin
+ usbdevice_usbfstx_crc16_shifter_shifter <= {1'd1, usbdevice_usbfstx_o_crc[15:0]};
+ end else begin
+ if (((usbdevice_usbfstx_shift_crc16 & usbdevice_usbfsrx_line_state_valid) & (~usbdevice_usbfstx_bitstuff_stall))) begin
+ usbdevice_usbfstx_crc16_shifter_shifter <= (usbdevice_usbfstx_crc16_shifter_shifter >>> 1'd1);
+ end
+ end
+ if (((usbdevice_usbfstx_crc16_shifter_shifter[16:1] == 16'd1) & ((usbdevice_usbfstx_shift_crc16 & usbdevice_usbfsrx_line_state_valid) & (~usbdevice_usbfstx_bitstuff_stall)))) begin
+ usbdevice_usbfstx_crc16_shifter_not_empty <= 1'd0;
+ end else begin
+ if (usbdevice_usbfstx_load_crc16) begin
+ usbdevice_usbfstx_crc16_shifter_not_empty <= 1'd1;
+ end
+ end
+ if (usbdevice_usbfstx_mux_stuff_bit_strobe) begin
+ usbdevice_usbfstx_bitstuffer_o_data <= (usbdevice_usbfstx_mux_stuff_data & (~usbdevice_usbfstx_bitstuffer_stuff_bit));
+ usbdevice_usbfstx_bitstuffer_o_se0 <= usbdevice_usbfstx_mux_stuff_se0;
+ usbdevice_usbfstx_bitstuffer_o_oe <= usbdevice_usbfstx_mux_stuff_oe;
+ end
+ txbitstuffer_state <= txbitstuffer_next_state;
+ usbdevice_usbfstx_nrzi_o_oe <= usbdevice_usbfstx_nrzi_oe;
+ usbdevice_usbfstx_nrzi_o_usbp <= usbdevice_usbfstx_nrzi_usbp;
+ usbdevice_usbfstx_nrzi_o_usbn <= usbdevice_usbfstx_nrzi_usbn;
+ txnrziencoder_state <= txnrziencoder_next_state;
+ fsm0_state <= fsm0_next_state;
+ fsm1_state <= fsm1_next_state;
+ trigger_mem_graycounter0_q_binary <= trigger_mem_graycounter0_q_next_binary;
+ trigger_mem_graycounter0_q <= trigger_mem_graycounter0_q_next;
+ if (trigger_wait) begin
+ if ((~trigger_done1)) begin
+ trigger_count <= (trigger_count - 1'd1);
+ end
+ end else begin
+ trigger_count <= 5'd16;
+ end
+ storage_cdc_graycounter1_q_binary <= storage_cdc_graycounter1_q_next_binary;
+ storage_cdc_graycounter1_q <= storage_cdc_graycounter1_q_next;
+ slave_sel_r <= slave_sel;
+ if (wait_1) begin
+ if ((~done)) begin
+ count <= (count - 1'd1);
+ end
+ end else begin
+ count <= 17'd65536;
+ end
+ interface0_bank_bus_dat_r <= 1'd0;
+ if (csrbank0_sel) begin
+ case (interface0_bank_bus_adr[4:0])
+ 1'd0: begin
+ interface0_bank_bus_dat_r <= csrbank0_mux_value0_w;
+ end
+ 1'd1: begin
+ interface0_bank_bus_dat_r <= csrbank0_trigger_enable0_w;
+ end
+ 2'd2: begin
+ interface0_bank_bus_dat_r <= csrbank0_trigger_done_w;
+ end
+ 2'd3: begin
+ interface0_bank_bus_dat_r <= trigger_mem_write_w;
+ end
+ 3'd4: begin
+ interface0_bank_bus_dat_r <= csrbank0_trigger_mem_mask0_w;
+ end
+ 3'd5: begin
+ interface0_bank_bus_dat_r <= csrbank0_trigger_mem_value0_w;
+ end
+ 3'd6: begin
+ interface0_bank_bus_dat_r <= csrbank0_trigger_mem_full_w;
+ end
+ 3'd7: begin
+ interface0_bank_bus_dat_r <= csrbank0_subsampler_value1_w;
+ end
+ 4'd8: begin
+ interface0_bank_bus_dat_r <= csrbank0_subsampler_value0_w;
+ end
+ 4'd9: begin
+ interface0_bank_bus_dat_r <= csrbank0_storage_enable0_w;
+ end
+ 4'd10: begin
+ interface0_bank_bus_dat_r <= csrbank0_storage_done_w;
+ end
+ 4'd11: begin
+ interface0_bank_bus_dat_r <= csrbank0_storage_length1_w;
+ end
+ 4'd12: begin
+ interface0_bank_bus_dat_r <= csrbank0_storage_length0_w;
+ end
+ 4'd13: begin
+ interface0_bank_bus_dat_r <= csrbank0_storage_offset1_w;
+ end
+ 4'd14: begin
+ interface0_bank_bus_dat_r <= csrbank0_storage_offset0_w;
+ end
+ 4'd15: begin
+ interface0_bank_bus_dat_r <= csrbank0_storage_mem_valid_w;
+ end
+ 5'd16: begin
+ interface0_bank_bus_dat_r <= storage_mem_ready_w;
+ end
+ 5'd17: begin
+ interface0_bank_bus_dat_r <= csrbank0_storage_mem_data_w;
+ end
+ endcase
+ end
+ if (csrbank0_mux_value0_re) begin
+ mux_value_storage_full <= csrbank0_mux_value0_r;
+ end
+ mux_value_re <= csrbank0_mux_value0_re;
+ if (csrbank0_trigger_enable0_re) begin
+ trigger_enable_storage_full <= csrbank0_trigger_enable0_r;
+ end
+ trigger_enable_re <= csrbank0_trigger_enable0_re;
+ if (csrbank0_trigger_mem_mask0_re) begin
+ trigger_mem_mask_storage_full[1:0] <= csrbank0_trigger_mem_mask0_r;
+ end
+ trigger_mem_mask_re <= csrbank0_trigger_mem_mask0_re;
+ if (csrbank0_trigger_mem_value0_re) begin
+ trigger_mem_value_storage_full[1:0] <= csrbank0_trigger_mem_value0_r;
+ end
+ trigger_mem_value_re <= csrbank0_trigger_mem_value0_re;
+ if (csrbank0_subsampler_value1_re) begin
+ subsampler_value_storage_full[15:8] <= csrbank0_subsampler_value1_r;
+ end
+ if (csrbank0_subsampler_value0_re) begin
+ subsampler_value_storage_full[7:0] <= csrbank0_subsampler_value0_r;
+ end
+ subsampler_value_re <= csrbank0_subsampler_value0_re;
+ if (csrbank0_storage_enable0_re) begin
+ storage_enable_storage_full <= csrbank0_storage_enable0_r;
+ end
+ storage_enable_re <= csrbank0_storage_enable0_re;
+ if (csrbank0_storage_length1_re) begin
+ storage_length_storage_full[8] <= csrbank0_storage_length1_r;
+ end
+ if (csrbank0_storage_length0_re) begin
+ storage_length_storage_full[7:0] <= csrbank0_storage_length0_r;
+ end
+ storage_length_re <= csrbank0_storage_length0_re;
+ if (csrbank0_storage_offset1_re) begin
+ storage_offset_storage_full[8] <= csrbank0_storage_offset1_r;
+ end
+ if (csrbank0_storage_offset0_re) begin
+ storage_offset_storage_full[7:0] <= csrbank0_storage_offset0_r;
+ end
+ storage_offset_re <= csrbank0_storage_offset0_re;
+ interface1_bank_bus_dat_r <= 1'd0;
+ if (csrbank1_sel) begin
+ case (interface1_bank_bus_adr[3:0])
+ 1'd0: begin
+ interface1_bank_bus_dat_r <= usbtestsoc_usbtestsoc_reset_reset_w;
+ end
+ 1'd1: begin
+ interface1_bank_bus_dat_r <= csrbank1_scratch3_w;
+ end
+ 2'd2: begin
+ interface1_bank_bus_dat_r <= csrbank1_scratch2_w;
+ end
+ 2'd3: begin
+ interface1_bank_bus_dat_r <= csrbank1_scratch1_w;
+ end
+ 3'd4: begin
+ interface1_bank_bus_dat_r <= csrbank1_scratch0_w;
+ end
+ 3'd5: begin
+ interface1_bank_bus_dat_r <= csrbank1_bus_errors3_w;
+ end
+ 3'd6: begin
+ interface1_bank_bus_dat_r <= csrbank1_bus_errors2_w;
+ end
+ 3'd7: begin
+ interface1_bank_bus_dat_r <= csrbank1_bus_errors1_w;
+ end
+ 4'd8: begin
+ interface1_bank_bus_dat_r <= csrbank1_bus_errors0_w;
+ end
+ endcase
+ end
+ if (csrbank1_scratch3_re) begin
+ usbtestsoc_usbtestsoc_storage_full[31:24] <= csrbank1_scratch3_r;
+ end
+ if (csrbank1_scratch2_re) begin
+ usbtestsoc_usbtestsoc_storage_full[23:16] <= csrbank1_scratch2_r;
+ end
+ if (csrbank1_scratch1_re) begin
+ usbtestsoc_usbtestsoc_storage_full[15:8] <= csrbank1_scratch1_r;
+ end
+ if (csrbank1_scratch0_re) begin
+ usbtestsoc_usbtestsoc_storage_full[7:0] <= csrbank1_scratch0_r;
+ end
+ usbtestsoc_usbtestsoc_re <= csrbank1_scratch0_re;
+ sel_r <= sel;
+ interface2_bank_bus_dat_r <= 1'd0;
+ if (csrbank2_sel) begin
+ case (interface2_bank_bus_adr[5:0])
+ 1'd0: begin
+ interface2_bank_bus_dat_r <= csrbank2_git_commit19_w;
+ end
+ 1'd1: begin
+ interface2_bank_bus_dat_r <= csrbank2_git_commit18_w;
+ end
+ 2'd2: begin
+ interface2_bank_bus_dat_r <= csrbank2_git_commit17_w;
+ end
+ 2'd3: begin
+ interface2_bank_bus_dat_r <= csrbank2_git_commit16_w;
+ end
+ 3'd4: begin
+ interface2_bank_bus_dat_r <= csrbank2_git_commit15_w;
+ end
+ 3'd5: begin
+ interface2_bank_bus_dat_r <= csrbank2_git_commit14_w;
+ end
+ 3'd6: begin
+ interface2_bank_bus_dat_r <= csrbank2_git_commit13_w;
+ end
+ 3'd7: begin
+ interface2_bank_bus_dat_r <= csrbank2_git_commit12_w;
+ end
+ 4'd8: begin
+ interface2_bank_bus_dat_r <= csrbank2_git_commit11_w;
+ end
+ 4'd9: begin
+ interface2_bank_bus_dat_r <= csrbank2_git_commit10_w;
+ end
+ 4'd10: begin
+ interface2_bank_bus_dat_r <= csrbank2_git_commit9_w;
+ end
+ 4'd11: begin
+ interface2_bank_bus_dat_r <= csrbank2_git_commit8_w;
+ end
+ 4'd12: begin
+ interface2_bank_bus_dat_r <= csrbank2_git_commit7_w;
+ end
+ 4'd13: begin
+ interface2_bank_bus_dat_r <= csrbank2_git_commit6_w;
+ end
+ 4'd14: begin
+ interface2_bank_bus_dat_r <= csrbank2_git_commit5_w;
+ end
+ 4'd15: begin
+ interface2_bank_bus_dat_r <= csrbank2_git_commit4_w;
+ end
+ 5'd16: begin
+ interface2_bank_bus_dat_r <= csrbank2_git_commit3_w;
+ end
+ 5'd17: begin
+ interface2_bank_bus_dat_r <= csrbank2_git_commit2_w;
+ end
+ 5'd18: begin
+ interface2_bank_bus_dat_r <= csrbank2_git_commit1_w;
+ end
+ 5'd19: begin
+ interface2_bank_bus_dat_r <= csrbank2_git_commit0_w;
+ end
+ 5'd20: begin
+ interface2_bank_bus_dat_r <= csrbank2_platform_platform7_w;
+ end
+ 5'd21: begin
+ interface2_bank_bus_dat_r <= csrbank2_platform_platform6_w;
+ end
+ 5'd22: begin
+ interface2_bank_bus_dat_r <= csrbank2_platform_platform5_w;
+ end
+ 5'd23: begin
+ interface2_bank_bus_dat_r <= csrbank2_platform_platform4_w;
+ end
+ 5'd24: begin
+ interface2_bank_bus_dat_r <= csrbank2_platform_platform3_w;
+ end
+ 5'd25: begin
+ interface2_bank_bus_dat_r <= csrbank2_platform_platform2_w;
+ end
+ 5'd26: begin
+ interface2_bank_bus_dat_r <= csrbank2_platform_platform1_w;
+ end
+ 5'd27: begin
+ interface2_bank_bus_dat_r <= csrbank2_platform_platform0_w;
+ end
+ 5'd28: begin
+ interface2_bank_bus_dat_r <= csrbank2_platform_target7_w;
+ end
+ 5'd29: begin
+ interface2_bank_bus_dat_r <= csrbank2_platform_target6_w;
+ end
+ 5'd30: begin
+ interface2_bank_bus_dat_r <= csrbank2_platform_target5_w;
+ end
+ 5'd31: begin
+ interface2_bank_bus_dat_r <= csrbank2_platform_target4_w;
+ end
+ 6'd32: begin
+ interface2_bank_bus_dat_r <= csrbank2_platform_target3_w;
+ end
+ 6'd33: begin
+ interface2_bank_bus_dat_r <= csrbank2_platform_target2_w;
+ end
+ 6'd34: begin
+ interface2_bank_bus_dat_r <= csrbank2_platform_target1_w;
+ end
+ 6'd35: begin
+ interface2_bank_bus_dat_r <= csrbank2_platform_target0_w;
+ end
+ endcase
+ end
+ interface3_bank_bus_dat_r <= 1'd0;
+ if (csrbank3_sel) begin
+ case (interface3_bank_bus_adr[0])
+ 1'd0: begin
+ interface3_bank_bus_dat_r <= csrbank3_in_w;
+ end
+ 1'd1: begin
+ interface3_bank_bus_dat_r <= csrbank3_out0_w;
+ end
+ endcase
+ end
+ if (csrbank3_out0_re) begin
+ io_storage_full[7:0] <= csrbank3_out0_r;
+ end
+ io_re <= csrbank3_out0_re;
+ interface4_bank_bus_dat_r <= 1'd0;
+ if (csrbank4_sel) begin
+ case (interface4_bank_bus_adr[1:0])
+ 1'd0: begin
+ interface4_bank_bus_dat_r <= csrbank4_bitbang0_w;
+ end
+ 1'd1: begin
+ interface4_bank_bus_dat_r <= csrbank4_miso_w;
+ end
+ 2'd2: begin
+ interface4_bank_bus_dat_r <= csrbank4_bitbang_en0_w;
+ end
+ endcase
+ end
+ if (csrbank4_bitbang0_re) begin
+ usbtestsoc_spiflash_bitbang_storage_full[3:0] <= csrbank4_bitbang0_r;
+ end
+ usbtestsoc_spiflash_bitbang_re <= csrbank4_bitbang0_re;
+ if (csrbank4_bitbang_en0_re) begin
+ usbtestsoc_spiflash_bitbang_en_storage_full <= csrbank4_bitbang_en0_r;
+ end
+ usbtestsoc_spiflash_bitbang_en_re <= csrbank4_bitbang_en0_re;
+ interface5_bank_bus_dat_r <= 1'd0;
+ if (csrbank5_sel) begin
+ case (interface5_bank_bus_adr[4:0])
+ 1'd0: begin
+ interface5_bank_bus_dat_r <= csrbank5_load3_w;
+ end
+ 1'd1: begin
+ interface5_bank_bus_dat_r <= csrbank5_load2_w;
+ end
+ 2'd2: begin
+ interface5_bank_bus_dat_r <= csrbank5_load1_w;
+ end
+ 2'd3: begin
+ interface5_bank_bus_dat_r <= csrbank5_load0_w;
+ end
+ 3'd4: begin
+ interface5_bank_bus_dat_r <= csrbank5_reload3_w;
+ end
+ 3'd5: begin
+ interface5_bank_bus_dat_r <= csrbank5_reload2_w;
+ end
+ 3'd6: begin
+ interface5_bank_bus_dat_r <= csrbank5_reload1_w;
+ end
+ 3'd7: begin
+ interface5_bank_bus_dat_r <= csrbank5_reload0_w;
+ end
+ 4'd8: begin
+ interface5_bank_bus_dat_r <= csrbank5_en0_w;
+ end
+ 4'd9: begin
+ interface5_bank_bus_dat_r <= usbtestsoc_usbtestsoc_update_value_w;
+ end
+ 4'd10: begin
+ interface5_bank_bus_dat_r <= csrbank5_value3_w;
+ end
+ 4'd11: begin
+ interface5_bank_bus_dat_r <= csrbank5_value2_w;
+ end
+ 4'd12: begin
+ interface5_bank_bus_dat_r <= csrbank5_value1_w;
+ end
+ 4'd13: begin
+ interface5_bank_bus_dat_r <= csrbank5_value0_w;
+ end
+ 4'd14: begin
+ interface5_bank_bus_dat_r <= usbtestsoc_usbtestsoc_eventmanager_status_w;
+ end
+ 4'd15: begin
+ interface5_bank_bus_dat_r <= usbtestsoc_usbtestsoc_eventmanager_pending_w;
+ end
+ 5'd16: begin
+ interface5_bank_bus_dat_r <= csrbank5_ev_enable0_w;
+ end
+ endcase
+ end
+ if (csrbank5_load3_re) begin
+ usbtestsoc_usbtestsoc_load_storage_full[31:24] <= csrbank5_load3_r;
+ end
+ if (csrbank5_load2_re) begin
+ usbtestsoc_usbtestsoc_load_storage_full[23:16] <= csrbank5_load2_r;
+ end
+ if (csrbank5_load1_re) begin
+ usbtestsoc_usbtestsoc_load_storage_full[15:8] <= csrbank5_load1_r;
+ end
+ if (csrbank5_load0_re) begin
+ usbtestsoc_usbtestsoc_load_storage_full[7:0] <= csrbank5_load0_r;
+ end
+ usbtestsoc_usbtestsoc_load_re <= csrbank5_load0_re;
+ if (csrbank5_reload3_re) begin
+ usbtestsoc_usbtestsoc_reload_storage_full[31:24] <= csrbank5_reload3_r;
+ end
+ if (csrbank5_reload2_re) begin
+ usbtestsoc_usbtestsoc_reload_storage_full[23:16] <= csrbank5_reload2_r;
+ end
+ if (csrbank5_reload1_re) begin
+ usbtestsoc_usbtestsoc_reload_storage_full[15:8] <= csrbank5_reload1_r;
+ end
+ if (csrbank5_reload0_re) begin
+ usbtestsoc_usbtestsoc_reload_storage_full[7:0] <= csrbank5_reload0_r;
+ end
+ usbtestsoc_usbtestsoc_reload_re <= csrbank5_reload0_re;
+ if (csrbank5_en0_re) begin
+ usbtestsoc_usbtestsoc_en_storage_full <= csrbank5_en0_r;
+ end
+ usbtestsoc_usbtestsoc_en_re <= csrbank5_en0_re;
+ if (csrbank5_ev_enable0_re) begin
+ usbtestsoc_usbtestsoc_eventmanager_storage_full <= csrbank5_ev_enable0_r;
+ end
+ usbtestsoc_usbtestsoc_eventmanager_re <= csrbank5_ev_enable0_re;
+ if (sys_rst) begin
+ usbtestsoc_usbtestsoc_storage_full <= 32'd305419896;
+ usbtestsoc_usbtestsoc_re <= 1'd0;
+ usbtestsoc_usbtestsoc_bus_errors <= 32'd0;
+ usbtestsoc_usbtestsoc_adr <= 14'd0;
+ usbtestsoc_usbtestsoc_we <= 1'd0;
+ usbtestsoc_usbtestsoc_dat_w <= 8'd0;
+ usbtestsoc_usbtestsoc_bus_wishbone_dat_r <= 32'd0;
+ usbtestsoc_usbtestsoc_bus_wishbone_ack <= 1'd0;
+ usbtestsoc_usbtestsoc_counter <= 2'd0;
+ usbtestsoc_usbtestsoc_load_storage_full <= 32'd0;
+ usbtestsoc_usbtestsoc_load_re <= 1'd0;
+ usbtestsoc_usbtestsoc_reload_storage_full <= 32'd0;
+ usbtestsoc_usbtestsoc_reload_re <= 1'd0;
+ usbtestsoc_usbtestsoc_en_storage_full <= 1'd0;
+ usbtestsoc_usbtestsoc_en_re <= 1'd0;
+ usbtestsoc_usbtestsoc_value_status <= 32'd0;
+ usbtestsoc_usbtestsoc_zero_pending <= 1'd0;
+ usbtestsoc_usbtestsoc_zero_old_trigger <= 1'd0;
+ usbtestsoc_usbtestsoc_eventmanager_storage_full <= 1'd0;
+ usbtestsoc_usbtestsoc_eventmanager_re <= 1'd0;
+ usbtestsoc_usbtestsoc_value <= 32'd0;
+ usbtestsoc_spiflash_bus_ack <= 1'd0;
+ usbtestsoc_spiflash_bitbang_storage_full <= 4'd0;
+ usbtestsoc_spiflash_bitbang_re <= 1'd0;
+ usbtestsoc_spiflash_bitbang_en_storage_full <= 1'd0;
+ usbtestsoc_spiflash_bitbang_en_re <= 1'd0;
+ usbtestsoc_spiflash_cs_n1 <= 1'd1;
+ usbtestsoc_spiflash_clk1 <= 1'd0;
+ usbtestsoc_spiflash_sr <= 32'd0;
+ usbtestsoc_spiflash_i <= 1'd0;
+ usbtestsoc_spiflash_miso1 <= 1'd0;
+ usbtestsoc_spiflash_counter <= 8'd0;
+ serial_tx <= 1'd1;
+ uartwishbonebridge_sink_ready <= 1'd0;
+ uartwishbonebridge_uart_clk_txen <= 1'd0;
+ uartwishbonebridge_phase_accumulator_tx <= 32'd0;
+ uartwishbonebridge_tx_reg <= 8'd0;
+ uartwishbonebridge_tx_bitcount <= 4'd0;
+ uartwishbonebridge_tx_busy <= 1'd0;
+ uartwishbonebridge_source_valid <= 1'd0;
+ uartwishbonebridge_source_payload_data <= 8'd0;
+ uartwishbonebridge_uart_clk_rxen <= 1'd0;
+ uartwishbonebridge_phase_accumulator_rx <= 32'd0;
+ uartwishbonebridge_rx_r <= 1'd0;
+ uartwishbonebridge_rx_reg <= 8'd0;
+ uartwishbonebridge_rx_bitcount <= 4'd0;
+ uartwishbonebridge_rx_busy <= 1'd0;
+ uartwishbonebridge_count <= 21'd1600000;
+ usbdevice_tx_pkt_start <= 1'd0;
+ usbdevice_tx_pid <= 4'd0;
+ usbdevice_usbfsrx_line_state_phase <= 2'd0;
+ usbdevice_usbfsrx_line_state_valid <= 1'd0;
+ usbdevice_usbfsrx_line_state_dj1 <= 1'd0;
+ usbdevice_usbfsrx_line_state_dk1 <= 1'd0;
+ usbdevice_usbfsrx_line_state_se01 <= 1'd0;
+ usbdevice_usbfsrx_line_state_se11 <= 1'd0;
+ usbdevice_usbfsrx_nrzi_o_valid1 <= 1'd0;
+ usbdevice_usbfsrx_nrzi_o_data1 <= 1'd0;
+ usbdevice_usbfsrx_nrzi_o_se0 <= 1'd0;
+ usbdevice_usbfsrx_bitstuff_o_valid <= 1'd0;
+ usbdevice_usbfsrx_bitstuff_o_data <= 1'd0;
+ usbdevice_usbfsrx_bitstuff_o_se0 <= 1'd0;
+ usbdevice_usbfsrx_bitstuff_o_bitstuff_error <= 1'd0;
+ usbdevice_usbfsrx_valid <= 1'd0;
+ usbdevice_usbfsrx_data <= 1'd0;
+ usbdevice_usbfsrx_se0 <= 1'd0;
+ usbdevice_usbfsrx_bitstuff_error <= 1'd0;
+ usbdevice_usbfsrx_o_pkt_start0 <= 1'd0;
+ usbdevice_usbfsrx_o_pkt_active <= 1'd0;
+ usbdevice_usbfsrx_o_pkt_end0 <= 1'd0;
+ usbdevice_usbfsrx_pkt_active1 <= 1'd0;
+ usbdevice_usbfsrx_pkt_end1 <= 1'd0;
+ usbdevice_usbfsrx_pid_shifter_shift_reg <= 9'd0;
+ usbdevice_usbfsrx_pid_shifter_o_put <= 1'd0;
+ usbdevice_usbfsrx_tok_shifter_shift_reg <= 17'd0;
+ usbdevice_usbfsrx_tok_shifter_o_put <= 1'd0;
+ usbdevice_usbfsrx_tok_crc5_crc <= 5'd0;
+ usbdevice_usbfsrx_tok_crc5_o_crc_good <= 1'd0;
+ usbdevice_usbfsrx_data_shifter_shift_reg <= 9'd0;
+ usbdevice_usbfsrx_data_shifter_o_put <= 1'd0;
+ usbdevice_usbfsrx_data_crc16_crc <= 16'd0;
+ usbdevice_usbfsrx_data_crc16_o_crc_good <= 1'd0;
+ usbdevice_usbfsrx_pkt_bitstuff_good <= 1'd0;
+ usbdevice_usbfsrx_o_pkt_data_put <= 1'd0;
+ usbdevice_usbfsrx_crc16_good <= 1'd0;
+ usbdevice_usbfsrx_o_pkt_start1 <= 1'd0;
+ usbdevice_usbfsrx_o_pkt_pid <= 4'd0;
+ usbdevice_usbfsrx_o_pkt_token_payload <= 11'd0;
+ usbdevice_usbfsrx_o_pkt_data <= 8'd0;
+ usbdevice_usbfsrx_o_pkt_good <= 1'd0;
+ usbdevice_usbfsrx_o_pkt_end1 <= 1'd0;
+ usbdevice_usbfstx_sync_shifter_shifter <= 9'd0;
+ usbdevice_usbfstx_sync_shifter_not_empty <= 1'd0;
+ usbdevice_usbfstx_pid_shifter_shifter <= 9'd0;
+ usbdevice_usbfstx_pid_shifter_not_empty <= 1'd0;
+ usbdevice_usbfstx_data_shifter_shifter <= 9'd0;
+ usbdevice_usbfstx_data_shifter_not_empty <= 1'd0;
+ usbdevice_usbfstx_crc <= 16'd0;
+ usbdevice_usbfstx_crc16_shifter_shifter <= 17'd0;
+ usbdevice_usbfstx_crc16_shifter_not_empty <= 1'd0;
+ usbdevice_usbfstx_pid_is_data <= 1'd0;
+ usbdevice_usbfstx_mux_stuff_oe <= 1'd0;
+ usbdevice_usbfstx_mux_stuff_data <= 1'd0;
+ usbdevice_usbfstx_mux_stuff_se0 <= 1'd0;
+ usbdevice_usbfstx_mux_stuff_bit_strobe <= 1'd0;
+ usbdevice_usbfstx_bitstuffer_o_data <= 1'd0;
+ usbdevice_usbfstx_bitstuffer_o_se0 <= 1'd0;
+ usbdevice_usbfstx_bitstuffer_o_oe <= 1'd0;
+ usbdevice_usbfstx_nrzi_o_usbp <= 1'd0;
+ usbdevice_usbfstx_nrzi_o_usbn <= 1'd0;
+ usbdevice_usbfstx_nrzi_o_oe <= 1'd0;
+ usbdevice_usbfstx_o_data_get <= 1'd0;
+ usbdevice_usbfstx_o_usbp <= 1'd0;
+ usbdevice_usbfstx_o_usbn <= 1'd0;
+ usbdevice_usbfstx_o_oe <= 1'd0;
+ usbdevice_current_token <= 4'd0;
+ usbdevice_current_endp <= 4'd0;
+ usbdevice_valid_request_token <= 1'd0;
+ io_storage_full <= 8'd0;
+ io_re <= 1'd0;
+ mux_value_storage_full <= 1'd0;
+ mux_value_re <= 1'd0;
+ trigger_enable_storage_full <= 1'd0;
+ trigger_enable_re <= 1'd0;
+ trigger_mem_mask_storage_full <= 2'd0;
+ trigger_mem_mask_re <= 1'd0;
+ trigger_mem_value_storage_full <= 2'd0;
+ trigger_mem_value_re <= 1'd0;
+ trigger_mem_graycounter0_q <= 5'd0;
+ trigger_mem_graycounter0_q_binary <= 5'd0;
+ trigger_count <= 5'd16;
+ subsampler_value_storage_full <= 16'd0;
+ subsampler_value_re <= 1'd0;
+ storage_enable_storage_full <= 1'd0;
+ storage_enable_re <= 1'd0;
+ storage_length_storage_full <= 9'd0;
+ storage_length_re <= 1'd0;
+ storage_offset_storage_full <= 9'd0;
+ storage_offset_re <= 1'd0;
+ storage_cdc_graycounter1_q <= 3'd0;
+ storage_cdc_graycounter1_q_binary <= 3'd0;
+ uartwishbonebridge_state <= 3'd0;
+ rxclockdatarecovery_state <= 3'd0;
+ rxnrzidecoder_state <= 1'd0;
+ rxbitstuffremover_state <= 3'd0;
+ rxpacketdecode_state <= 3'd0;
+ fsm_state <= 3'd0;
+ txbitstuffer_state <= 3'd0;
+ txnrziencoder_state <= 3'd0;
+ fsm0_state <= 3'd0;
+ fsm1_state <= 3'd0;
+ slave_sel_r <= 2'd0;
+ count <= 17'd65536;
+ interface0_bank_bus_dat_r <= 8'd0;
+ interface1_bank_bus_dat_r <= 8'd0;
+ sel_r <= 1'd0;
+ interface2_bank_bus_dat_r <= 8'd0;
+ interface3_bank_bus_dat_r <= 8'd0;
+ interface4_bank_bus_dat_r <= 8'd0;
+ interface5_bank_bus_dat_r <= 8'd0;
+ end
+ multiregimpl0_regs0 <= serial_rx;
+ multiregimpl0_regs1 <= multiregimpl0_regs0;
+ multiregimpl1_regs0 <= usbdevice_usb_p_rx;
+ multiregimpl1_regs1 <= multiregimpl1_regs0;
+ multiregimpl1_regs2 <= multiregimpl1_regs1;
+ multiregimpl2_regs0 <= usbdevice_usb_n_rx;
+ multiregimpl2_regs1 <= multiregimpl2_regs0;
+ multiregimpl2_regs2 <= multiregimpl2_regs1;
+ multiregimpl3_regs0 <= io_input;
+ multiregimpl3_regs1 <= multiregimpl3_regs0;
+ multiregimpl6_regs0 <= trigger_done0;
+ multiregimpl6_regs1 <= multiregimpl6_regs0;
+ multiregimpl8_regs0 <= trigger_mem_graycounter1_q;
+ multiregimpl8_regs1 <= multiregimpl8_regs0;
+ multiregimpl13_regs0 <= storage_done0;
+ multiregimpl13_regs1 <= multiregimpl13_regs0;
+ multiregimpl14_regs0 <= storage_cdc_graycounter0_q;
+ multiregimpl14_regs1 <= multiregimpl14_regs0;
+end
+
+reg [7:0] mem[0:10];
+reg [3:0] memadr;
+always @(posedge sys_clk) begin
+ memadr <= adr;
+end
+
+assign dat_r = mem[memadr];
+
+initial begin
+ $readmemh("mem.init", mem);
+end
+
+SB_PLL40_CORE #(
+ .DELAY_ADJUSTMENT_MODE_FEEDBACK("FIXED"),
+ .DELAY_ADJUSTMENT_MODE_RELATIVE("FIXED"),
+ .DIVF(6'd47),
+ .DIVQ(3'd4),
+ .DIVR(1'd0),
+ .ENABLE_ICEGATE(1'd0),
+ .FDA_FEEDBACK(1'd0),
+ .FDA_RELATIVE(1'd0),
+ .FEEDBACK_PATH("SIMPLE"),
+ .FILTER_RANGE(1'd1),
+ .PLLOUT_SELECT("GENCLK"),
+ .SHIFTREG_DIV_MODE(1'd0)
+) SB_PLL40_CORE (
+ .BYPASS(1'd0),
+ .REFERENCECLK(clk16),
+ .RESETB(1'd1),
+ .PLLOUTCORE(usb_48_clk)
+);
+
+SB_IO #(
+ .PIN_TYPE(6'b101001),
+ .PULLUP(1'd0)
+) SB_IO (
+ .D_OUT_0(usbdevice_usb_p_tx),
+ .OUTPUT_ENABLE(usbdevice_usb_tx_en),
+ .PACKAGE_PIN(usb_d_p),
+ .D_IN_0(usbdevice_usb_p_rx_io)
+);
+
+SB_IO #(
+ .PIN_TYPE(6'b101001),
+ .PULLUP(1'd0)
+) SB_IO_1 (
+ .D_OUT_0(usbdevice_usb_n_tx),
+ .OUTPUT_ENABLE(usbdevice_usb_tx_en),
+ .PACKAGE_PIN(usb_d_n),
+ .D_IN_0(usbdevice_usb_n_rx_io)
+);
+
+reg [5:0] storage[0:15];
+reg [3:0] memadr_1;
+reg [3:0] memadr_2;
+always @(posedge sys_clk) begin
+ if (trigger_mem_wrport_we)
+ storage[trigger_mem_wrport_adr] <= trigger_mem_wrport_dat_w;
+ memadr_1 <= trigger_mem_wrport_adr;
+end
+
+always @(posedge scope_clk) begin
+ memadr_2 <= trigger_mem_rdport_adr;
+end
+
+assign trigger_mem_wrport_dat_r = storage[memadr_1];
+assign trigger_mem_rdport_dat_r = storage[memadr_2];
+
+reg [3:0] storage_1[0:255];
+reg [3:0] memdat;
+reg [3:0] memdat_1;
+always @(posedge scope_clk) begin
+ if (storage_mem_wrport_we)
+ storage_1[storage_mem_wrport_adr] <= storage_mem_wrport_dat_w;
+ memdat <= storage_1[storage_mem_wrport_adr];
+end
+
+always @(posedge scope_clk) begin
+ if (storage_mem_rdport_re)
+ memdat_1 <= storage_1[storage_mem_rdport_adr];
+end
+
+assign storage_mem_wrport_dat_r = memdat;
+assign storage_mem_rdport_dat_r = memdat_1;
+
+reg [3:0] storage_2[0:3];
+reg [1:0] memadr_3;
+reg [1:0] memadr_4;
+always @(posedge scope_clk) begin
+ if (storage_cdc_wrport_we)
+ storage_2[storage_cdc_wrport_adr] <= storage_cdc_wrport_dat_w;
+ memadr_3 <= storage_cdc_wrport_adr;
+end
+
+always @(posedge sys_clk) begin
+ memadr_4 <= storage_cdc_rdport_adr;
+end
+
+assign storage_cdc_wrport_dat_r = storage_2[memadr_3];
+assign storage_cdc_rdport_dat_r = storage_2[memadr_4];
+
+SB_DFFS SB_DFFS(
+ .C(por_clk),
+ .D(1'd0),
+ .S(usbtestsoc_crg_reset),
+ .Q(rst1)
+);
+
+SB_DFFS SB_DFFS_1(
+ .C(por_clk),
+ .D(rst1),
+ .S(usbtestsoc_crg_reset),
+ .Q(por_rst)
+);
+
+endmodule
diff --git a/ice40/regressions/issue0120/top.ys b/ice40/regressions/issue0120/top.ys
new file mode 100644
index 0000000..b22a82d
--- /dev/null
+++ b/ice40/regressions/issue0120/top.ys
@@ -0,0 +1,3 @@
+read_verilog top.v
+attrmap -tocase keep -imap keep="true" keep=1 -imap keep="false" keep=0 -remove keep=0
+synth_ice40 -top top -json top.json \ No newline at end of file