aboutsummaryrefslogtreecommitdiffstats
path: root/ice40/regressions/issue0148/ice40.pcf
diff options
context:
space:
mode:
authorEddie Hung <eddieh@ece.ubc.ca>2019-02-09 10:56:42 -0800
committerEddie Hung <eddieh@ece.ubc.ca>2019-02-09 10:56:42 -0800
commitc16195dbb7baa281accb3e41ad479a02f5e47d6c (patch)
tree5869d5be87da8662c8aaa05ff6858360b0408800 /ice40/regressions/issue0148/ice40.pcf
parentf28a055c3531bf7e8b3c744b00e6b1ff1e89b292 (diff)
downloadnextpnr-tests-c16195dbb7baa281accb3e41ad479a02f5e47d6c.tar.gz
nextpnr-tests-c16195dbb7baa281accb3e41ad479a02f5e47d6c.tar.bz2
nextpnr-tests-c16195dbb7baa281accb3e41ad479a02f5e47d6c.zip
YosysHQ/nextpnr#148
Diffstat (limited to 'ice40/regressions/issue0148/ice40.pcf')
-rw-r--r--ice40/regressions/issue0148/ice40.pcf18
1 files changed, 18 insertions, 0 deletions
diff --git a/ice40/regressions/issue0148/ice40.pcf b/ice40/regressions/issue0148/ice40.pcf
new file mode 100644
index 0000000..db51c60
--- /dev/null
+++ b/ice40/regressions/issue0148/ice40.pcf
@@ -0,0 +1,18 @@
+set_io clk12m_in 35
+
+set_io vga_r[0] 23
+set_io vga_g[0] 25
+set_io vga_r[1] 26
+set_io vga_g[1] 27
+set_io vga_b[1] 32
+set_io vga_b[0] 31
+set_io vga_hsync 34
+set_io vga_vsync 43
+
+set_io spi_miso 14
+set_io spi_mosi 17
+set_io spi_clk 15
+set_io spi_cs 16
+
+set_io out1 3
+set_io out2 4