aboutsummaryrefslogtreecommitdiffstats
path: root/ice40/regressions/issue0151/top.v
diff options
context:
space:
mode:
authorEddie Hung <eddieh@ece.ubc.ca>2019-02-07 13:04:04 -0800
committerEddie Hung <eddieh@ece.ubc.ca>2019-02-07 13:04:04 -0800
commit31b2cdca145f8fc96424e0c7b6f4000f7c777c12 (patch)
treeea76bcfa26734338f499d4842e9440aff36a14f4 /ice40/regressions/issue0151/top.v
parent5908b57413f83077f082cf566d0dfbf5e76703b1 (diff)
downloadnextpnr-tests-31b2cdca145f8fc96424e0c7b6f4000f7c777c12.tar.gz
nextpnr-tests-31b2cdca145f8fc96424e0c7b6f4000f7c777c12.tar.bz2
nextpnr-tests-31b2cdca145f8fc96424e0c7b6f4000f7c777c12.zip
Add testcases from GH
Diffstat (limited to 'ice40/regressions/issue0151/top.v')
-rw-r--r--ice40/regressions/issue0151/top.v23
1 files changed, 23 insertions, 0 deletions
diff --git a/ice40/regressions/issue0151/top.v b/ice40/regressions/issue0151/top.v
new file mode 100644
index 0000000..7e987f2
--- /dev/null
+++ b/ice40/regressions/issue0151/top.v
@@ -0,0 +1,23 @@
+module top (
+ input wire in_n,
+ output wire out
+);
+
+wire clk;
+
+SB_GB_IO #(
+ .PIN_TYPE(6'b000000),
+ .IO_STANDARD("SB_LVDS_INPUT")
+) differential_clock_input (
+ .PACKAGE_PIN(in_n),
+ .GLOBAL_BUFFER_OUTPUT(clk)
+);
+
+assign out = cntr;
+reg cntr = 1'd0;
+
+always @(posedge clk) begin
+ cntr <= !cntr;
+end
+
+endmodule