aboutsummaryrefslogtreecommitdiffstats
path: root/ice40/regressions/issue0203/ringosc.v
diff options
context:
space:
mode:
authorEddie Hung <eddieh@ece.ubc.ca>2019-02-07 13:04:04 -0800
committerEddie Hung <eddieh@ece.ubc.ca>2019-02-07 13:04:04 -0800
commit31b2cdca145f8fc96424e0c7b6f4000f7c777c12 (patch)
treeea76bcfa26734338f499d4842e9440aff36a14f4 /ice40/regressions/issue0203/ringosc.v
parent5908b57413f83077f082cf566d0dfbf5e76703b1 (diff)
downloadnextpnr-tests-31b2cdca145f8fc96424e0c7b6f4000f7c777c12.tar.gz
nextpnr-tests-31b2cdca145f8fc96424e0c7b6f4000f7c777c12.tar.bz2
nextpnr-tests-31b2cdca145f8fc96424e0c7b6f4000f7c777c12.zip
Add testcases from GH
Diffstat (limited to 'ice40/regressions/issue0203/ringosc.v')
-rw-r--r--ice40/regressions/issue0203/ringosc.v23
1 files changed, 23 insertions, 0 deletions
diff --git a/ice40/regressions/issue0203/ringosc.v b/ice40/regressions/issue0203/ringosc.v
new file mode 100644
index 0000000..6df8b0a
--- /dev/null
+++ b/ice40/regressions/issue0203/ringosc.v
@@ -0,0 +1,23 @@
+
+`timescale 1 ns / 1 ps
+`default_nettype none
+
+module top(output D1);
+
+ wire [1:0] buffers_in, buffers_out;
+ assign buffers_in = {buffers_out[0:0], ~buffers_out[1]};
+ SB_LUT4 #(
+ .LUT_INIT(16'd2)
+ ) buffers [1:0] (
+ .O(buffers_out),
+ .I0(buffers_in),
+ .I1(1'b0),
+ .I2(1'b0),
+ .I3(1'b0)
+ );
+
+ wire random = ~buffers_out[1];
+
+ assign D1 = random;
+
+endmodule // top