aboutsummaryrefslogtreecommitdiffstats
path: root/ice40/regressions/issue0209/test.v
diff options
context:
space:
mode:
authorEddie Hung <eddieh@ece.ubc.ca>2019-02-07 13:04:04 -0800
committerEddie Hung <eddieh@ece.ubc.ca>2019-02-07 13:04:04 -0800
commit31b2cdca145f8fc96424e0c7b6f4000f7c777c12 (patch)
treeea76bcfa26734338f499d4842e9440aff36a14f4 /ice40/regressions/issue0209/test.v
parent5908b57413f83077f082cf566d0dfbf5e76703b1 (diff)
downloadnextpnr-tests-31b2cdca145f8fc96424e0c7b6f4000f7c777c12.tar.gz
nextpnr-tests-31b2cdca145f8fc96424e0c7b6f4000f7c777c12.tar.bz2
nextpnr-tests-31b2cdca145f8fc96424e0c7b6f4000f7c777c12.zip
Add testcases from GH
Diffstat (limited to 'ice40/regressions/issue0209/test.v')
-rw-r--r--ice40/regressions/issue0209/test.v10
1 files changed, 10 insertions, 0 deletions
diff --git a/ice40/regressions/issue0209/test.v b/ice40/regressions/issue0209/test.v
new file mode 100644
index 0000000..5ca7d72
--- /dev/null
+++ b/ice40/regressions/issue0209/test.v
@@ -0,0 +1,10 @@
+// test.v
+module test (input PIN_5, output wire PIN_18);
+ lvds_clock_input in (PIN_5, PIN_18);
+endmodule
+
+module lvds_clock_input ( input pin, output wire rd );
+ SB_GB_IO #(.PIN_TYPE(6'b0000_00),
+ .IO_STANDARD("SB_LVDS_INPUT")) lvds_in
+ (.PACKAGE_PIN(pin), .GLOBAL_BUFFER_OUTPUT(rd));
+endmodule