aboutsummaryrefslogtreecommitdiffstats
path: root/ice40
diff options
context:
space:
mode:
authorEddie Hung <eddieh@ece.ubc.ca>2019-02-07 13:10:46 -0800
committerEddie Hung <eddieh@ece.ubc.ca>2019-02-07 13:10:46 -0800
commit5643d833e18ab22953ea4245064003d5cb3fe02b (patch)
treeb1f4a40767c42c73e9d8fe84d5966569b7ac0684 /ice40
parent31b2cdca145f8fc96424e0c7b6f4000f7c777c12 (diff)
downloadnextpnr-tests-5643d833e18ab22953ea4245064003d5cb3fe02b.tar.gz
nextpnr-tests-5643d833e18ab22953ea4245064003d5cb3fe02b.tar.bz2
nextpnr-tests-5643d833e18ab22953ea4245064003d5cb3fe02b.zip
Update Makefile path
Diffstat (limited to 'ice40')
-rw-r--r--ice40/regressions/Makefile2
1 files changed, 1 insertions, 1 deletions
diff --git a/ice40/regressions/Makefile b/ice40/regressions/Makefile
index 0ecb3a4..b1f81b6 100644
--- a/ice40/regressions/Makefile
+++ b/ice40/regressions/Makefile
@@ -1,4 +1,4 @@
-NPNR = ../../nextpnr-ice40
+NPNR = ../../../nextpnr-ice40
override NPNR := $(abspath $(NPNR))
JSON := $(wildcard */*.json)
SH := $(wildcard */*.sh)