aboutsummaryrefslogtreecommitdiffstats
Commit message (Expand)AuthorAgeFilesLines
* ice40: Add PR #252 regressionDavid Shah2019-03-204-0/+11
* ecp5: Add regression testsDavid Shah2019-02-2814-0/+582
* Update README.mdEddie Hung2019-02-201-0/+1
* Update README.mdEddie Hung2019-02-201-0/+1
* Update README.mdEddie Hung2019-02-201-0/+8
* tests: Add issue0237 regressionDavid Shah2019-02-204-0/+16
* Merge branch 'master' of github.com:YosysHQ/nextpnr-testsEddie Hung2019-02-121-1/+3
|\
| * Update README.mdEddie Hung2019-02-111-1/+1
| * Update README.mdEddie Hung2019-02-111-0/+2
* | Decompress *.json.gzEddie Hung2019-02-121-2/+3
* | .gitignore to ignore *.jsonEddie Hung2019-02-121-0/+1
* | Ungzip them when running regressionsEddie Hung2019-02-121-2/+6
* | gzip all JSONsEddie Hung2019-02-1276-856145/+0
|/
* Remove --freq constraint for testcaseEddie Hung2019-02-091-1/+1
* YosysHQ/nextpnr#145Eddie Hung2019-02-095-0/+7011
* YosysHQ/nextpnr#65Eddie Hung2019-02-095-0/+5404
* YosysHQ/nextpnr#90Eddie Hung2019-02-096-0/+5398
* YosysHQ/nextpnr#116Eddie Hung2019-02-093-0/+19524
* YosysHQ/nextpnr#99Eddie Hung2019-02-094-0/+5603
* YosysHQ/nextpnr#127Eddie Hung2019-02-093-0/+17039
* YosysHQ/nextpnr#148Eddie Hung2019-02-0927-0/+57261
* YosysHQ/nextpnr#183Eddie Hung2019-02-092-0/+140988
* YosysHQ/nextpnr#218Eddie Hung2019-02-092-0/+5469
* YosysHQ/nextpnr#224Eddie Hung2019-02-092-0/+5419
* Merge in testcase for YosysHQ/nextpnr#226Eddie Hung2019-02-092-0/+5541
|\
| * Add issue 226 testcaseEddie Hung2019-02-072-0/+5541
* | YosysHQ/nextpnr#229 testcaseEddie Hung2019-02-096-0/+17599
* | Generate ASC, and pipe it through icebox_vlog for sanityEddie Hung2019-02-085-9/+20
* | Move .gitignore into regressions subdirEddie Hung2019-02-081-0/+0
* | Better error messaging for empty/invalid NPNREddie Hung2019-02-071-0/+9
* | Replace use of --force with --ignore-loops for combinatiorial loopsEddie Hung2019-02-072-2/+2
* | Merge branch 'master' of github.com:YosysHQ/nextpnr-testsEddie Hung2019-02-071-0/+3
|\ \
| * | Update README.mdEddie Hung2019-02-071-1/+0
| * | Create README.mdEddie Hung2019-02-071-0/+4
| |/
* / Revert "Make NPNR an order only dep"Eddie Hung2019-02-071-2/+2
|/
* Make NPNR an order only depEddie Hung2019-02-071-2/+2
* Merge branch 'master' of github.com:YosysHQ/nextpnr-testsEddie Hung2019-02-071-0/+18
|\
| * Inherit COPYING from nextpnrEddie Hung2019-02-071-0/+18
* | Update Makefile pathEddie Hung2019-02-071-1/+1
|/
* Add testcases from GHEddie Hung2019-02-07103-0/+576917
* Copy of existing nextpnr/tests subdirEddie Hung2019-02-079-0/+813