aboutsummaryrefslogtreecommitdiffstats
path: root/ice40/regressions/issue0203/ringosc.v
blob: 6df8b0af9c855e50da8c873fb06dc055806222c7 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
`timescale 1 ns / 1 ps
`default_nettype none

module top(output D1);

  wire [1:0] buffers_in, buffers_out;
  assign buffers_in = {buffers_out[0:0], ~buffers_out[1]};
  SB_LUT4 #(
          .LUT_INIT(16'd2)
  ) buffers [1:0] (
          .O(buffers_out),
          .I0(buffers_in),
          .I1(1'b0),
          .I2(1'b0),
          .I3(1'b0)
  );

  wire random = ~buffers_out[1];

  assign D1 = random;

endmodule // top