diff options
author | Alessandro Comodi <acomodi@antmicro.com> | 2021-03-31 12:10:28 +0200 |
---|---|---|
committer | Alessandro Comodi <acomodi@antmicro.com> | 2021-04-01 10:23:07 +0200 |
commit | 366f8782cb2d417f4ed171534b9bde119ed728f8 (patch) | |
tree | 4e1df11806633f3f15e7bef27ddfc0dc1ea2df12 /fpga_interchange/examples/tests/counter/basys3.xdc | |
parent | ec98fee1eefd61d17ccfaf58bae72e1cc0f9e5e3 (diff) | |
download | nextpnr-366f8782cb2d417f4ed171534b9bde119ed728f8.tar.gz nextpnr-366f8782cb2d417f4ed171534b9bde119ed728f8.tar.bz2 nextpnr-366f8782cb2d417f4ed171534b9bde119ed728f8.zip |
interchange: counter: testing on multiple boards
Signed-off-by: Alessandro Comodi <acomodi@antmicro.com>
Diffstat (limited to 'fpga_interchange/examples/tests/counter/basys3.xdc')
-rw-r--r-- | fpga_interchange/examples/tests/counter/basys3.xdc | 14 |
1 files changed, 14 insertions, 0 deletions
diff --git a/fpga_interchange/examples/tests/counter/basys3.xdc b/fpga_interchange/examples/tests/counter/basys3.xdc new file mode 100644 index 00000000..09446b5f --- /dev/null +++ b/fpga_interchange/examples/tests/counter/basys3.xdc @@ -0,0 +1,14 @@ +## basys3 breakout board +set_property PACKAGE_PIN W5 [get_ports clk] +set_property PACKAGE_PIN V17 [get_ports rst] +set_property PACKAGE_PIN U16 [get_ports io_led[4]] +set_property PACKAGE_PIN E19 [get_ports io_led[5]] +set_property PACKAGE_PIN U19 [get_ports io_led[6]] +set_property PACKAGE_PIN V19 [get_ports io_led[7]] + +set_property IOSTANDARD LVCMOS33 [get_ports clk] +set_property IOSTANDARD LVCMOS33 [get_ports rst] +set_property IOSTANDARD LVCMOS33 [get_ports io_led[4]] +set_property IOSTANDARD LVCMOS33 [get_ports io_led[5]] +set_property IOSTANDARD LVCMOS33 [get_ports io_led[6]] +set_property IOSTANDARD LVCMOS33 [get_ports io_led[7]] |