aboutsummaryrefslogtreecommitdiffstats
path: root/fpga_interchange
diff options
context:
space:
mode:
authorAlessandro Comodi <acomodi@antmicro.com>2021-03-31 12:10:28 +0200
committerAlessandro Comodi <acomodi@antmicro.com>2021-04-01 10:23:07 +0200
commit366f8782cb2d417f4ed171534b9bde119ed728f8 (patch)
tree4e1df11806633f3f15e7bef27ddfc0dc1ea2df12 /fpga_interchange
parentec98fee1eefd61d17ccfaf58bae72e1cc0f9e5e3 (diff)
downloadnextpnr-366f8782cb2d417f4ed171534b9bde119ed728f8.tar.gz
nextpnr-366f8782cb2d417f4ed171534b9bde119ed728f8.tar.bz2
nextpnr-366f8782cb2d417f4ed171534b9bde119ed728f8.zip
interchange: counter: testing on multiple boards
Signed-off-by: Alessandro Comodi <acomodi@antmicro.com>
Diffstat (limited to 'fpga_interchange')
-rw-r--r--fpga_interchange/examples/tests.cmake1
-rw-r--r--fpga_interchange/examples/tests/counter/CMakeLists.txt19
-rw-r--r--fpga_interchange/examples/tests/counter/arty100t.xdc (renamed from fpga_interchange/examples/tests/counter/counter_arty.xdc)14
-rw-r--r--fpga_interchange/examples/tests/counter/arty35t.xdc14
-rw-r--r--fpga_interchange/examples/tests/counter/basys3.xdc (renamed from fpga_interchange/examples/tests/counter/counter_basys3.xdc)0
5 files changed, 25 insertions, 23 deletions
diff --git a/fpga_interchange/examples/tests.cmake b/fpga_interchange/examples/tests.cmake
index 930682a5..86148729 100644
--- a/fpga_interchange/examples/tests.cmake
+++ b/fpga_interchange/examples/tests.cmake
@@ -338,6 +338,7 @@ function(add_interchange_group_test)
xdc ${board}.xdc
sources ${sources}
top ${top}
+ techmap ${techmap}
)
endforeach()
endfunction()
diff --git a/fpga_interchange/examples/tests/counter/CMakeLists.txt b/fpga_interchange/examples/tests/counter/CMakeLists.txt
index 6e187071..2f1509c2 100644
--- a/fpga_interchange/examples/tests/counter/CMakeLists.txt
+++ b/fpga_interchange/examples/tests/counter/CMakeLists.txt
@@ -1,21 +1,8 @@
-add_interchange_test(
- name counter_basys3
+add_interchange_group_test(
+ name counter
family ${family}
- device xc7a35t
- package cpg236
+ board_list basys3 arty35t arty100t
tcl run.tcl
- xdc counter_basys3.xdc
- sources counter.v
- techmap ../../remap.v
-)
-
-add_interchange_test(
- name counter_arty
- family ${family}
- device xc7a35t
- package csg324
- tcl run.tcl
- xdc counter_arty.xdc
sources counter.v
techmap ../../remap.v
)
diff --git a/fpga_interchange/examples/tests/counter/counter_arty.xdc b/fpga_interchange/examples/tests/counter/arty100t.xdc
index c6873df5..c40df560 100644
--- a/fpga_interchange/examples/tests/counter/counter_arty.xdc
+++ b/fpga_interchange/examples/tests/counter/arty100t.xdc
@@ -1,10 +1,10 @@
-## basys3 breakout board
-set_property PACKAGE_PIN E3 [get_ports clk]
-set_property PACKAGE_PIN C2 [get_ports rst]
-set_property PACKAGE_PIN N15 [get_ports io_led[4]]
-set_property PACKAGE_PIN N16 [get_ports io_led[5]]
-set_property PACKAGE_PIN P17 [get_ports io_led[6]]
-set_property PACKAGE_PIN R17 [get_ports io_led[7]]
+## arty-100t board
+set_property PACKAGE_PIN E3 [get_ports clk]
+set_property PACKAGE_PIN D9 [get_ports rst]
+set_property PACKAGE_PIN H5 [get_ports io_led[4]]
+set_property PACKAGE_PIN J5 [get_ports io_led[5]]
+set_property PACKAGE_PIN T9 [get_ports io_led[6]]
+set_property PACKAGE_PIN T10 [get_ports io_led[7]]
set_property IOSTANDARD LVCMOS33 [get_ports clk]
set_property IOSTANDARD LVCMOS33 [get_ports rst]
diff --git a/fpga_interchange/examples/tests/counter/arty35t.xdc b/fpga_interchange/examples/tests/counter/arty35t.xdc
new file mode 100644
index 00000000..22c325ae
--- /dev/null
+++ b/fpga_interchange/examples/tests/counter/arty35t.xdc
@@ -0,0 +1,14 @@
+## arty-35t board
+set_property PACKAGE_PIN E3 [get_ports clk]
+set_property PACKAGE_PIN D9 [get_ports rst]
+set_property PACKAGE_PIN H5 [get_ports io_led[4]]
+set_property PACKAGE_PIN J5 [get_ports io_led[5]]
+set_property PACKAGE_PIN T9 [get_ports io_led[6]]
+set_property PACKAGE_PIN T10 [get_ports io_led[7]]
+
+set_property IOSTANDARD LVCMOS33 [get_ports clk]
+set_property IOSTANDARD LVCMOS33 [get_ports rst]
+set_property IOSTANDARD LVCMOS33 [get_ports io_led[4]]
+set_property IOSTANDARD LVCMOS33 [get_ports io_led[5]]
+set_property IOSTANDARD LVCMOS33 [get_ports io_led[6]]
+set_property IOSTANDARD LVCMOS33 [get_ports io_led[7]]
diff --git a/fpga_interchange/examples/tests/counter/counter_basys3.xdc b/fpga_interchange/examples/tests/counter/basys3.xdc
index 09446b5f..09446b5f 100644
--- a/fpga_interchange/examples/tests/counter/counter_basys3.xdc
+++ b/fpga_interchange/examples/tests/counter/basys3.xdc