aboutsummaryrefslogtreecommitdiffstats
path: root/fpga_interchange/examples/tests/lut_nexus/run.tcl
diff options
context:
space:
mode:
authorgatecat <gatecat@ds0.me>2021-03-25 12:51:19 +0000
committergatecat <gatecat@ds0.me>2021-03-30 16:31:51 +0100
commitecfaae7f9ea9bfad4b9af08495ac72cb5f6972ec (patch)
treee8a13f1726289990439ce968ca14ccbe6860ce5b /fpga_interchange/examples/tests/lut_nexus/run.tcl
parentb6b89593971bcddc874b3839dc5d107f975f1404 (diff)
downloadnextpnr-ecfaae7f9ea9bfad4b9af08495ac72cb5f6972ec.tar.gz
nextpnr-ecfaae7f9ea9bfad4b9af08495ac72cb5f6972ec.tar.bz2
nextpnr-ecfaae7f9ea9bfad4b9af08495ac72cb5f6972ec.zip
interchange: Add Nexus LUT test
Signed-off-by: gatecat <gatecat@ds0.me>
Diffstat (limited to 'fpga_interchange/examples/tests/lut_nexus/run.tcl')
-rw-r--r--fpga_interchange/examples/tests/lut_nexus/run.tcl14
1 files changed, 14 insertions, 0 deletions
diff --git a/fpga_interchange/examples/tests/lut_nexus/run.tcl b/fpga_interchange/examples/tests/lut_nexus/run.tcl
new file mode 100644
index 00000000..4aa56c13
--- /dev/null
+++ b/fpga_interchange/examples/tests/lut_nexus/run.tcl
@@ -0,0 +1,14 @@
+yosys -import
+
+read_verilog $::env(SOURCES)
+
+synth_nexus -noccu2 -nobram -nolutram -nowidelut
+
+# opt_expr -undriven makes sure all nets are driven, if only by the $undef
+# net.
+opt_expr -undriven
+opt_clean
+
+setundef -zero -params
+
+write_json $::env(OUT_JSON)