aboutsummaryrefslogtreecommitdiffstats
path: root/fpga_interchange/examples/tests/wire/wire_arty.xdc
diff options
context:
space:
mode:
authorAlessandro Comodi <acomodi@antmicro.com>2021-03-23 20:35:53 +0100
committerAlessandro Comodi <acomodi@antmicro.com>2021-03-23 21:05:58 +0100
commit15e945aa1c83d5408f93e6375b38ec81deb4f874 (patch)
treeb4d4801916cb71352284657c0183d3685c4bb7e2 /fpga_interchange/examples/tests/wire/wire_arty.xdc
parent2956a0ca03d3e7e4573ed3f44de6fec23d33018e (diff)
downloadnextpnr-15e945aa1c83d5408f93e6375b38ec81deb4f874.tar.gz
nextpnr-15e945aa1c83d5408f93e6375b38ec81deb4f874.tar.bz2
nextpnr-15e945aa1c83d5408f93e6375b38ec81deb4f874.zip
interchange: added boards and group testing across multiple boards
Signed-off-by: Alessandro Comodi <acomodi@antmicro.com>
Diffstat (limited to 'fpga_interchange/examples/tests/wire/wire_arty.xdc')
-rw-r--r--fpga_interchange/examples/tests/wire/wire_arty.xdc5
1 files changed, 0 insertions, 5 deletions
diff --git a/fpga_interchange/examples/tests/wire/wire_arty.xdc b/fpga_interchange/examples/tests/wire/wire_arty.xdc
deleted file mode 100644
index 54c661c9..00000000
--- a/fpga_interchange/examples/tests/wire/wire_arty.xdc
+++ /dev/null
@@ -1,5 +0,0 @@
-set_property PACKAGE_PIN A8 [get_ports i]
-set_property PACKAGE_PIN H5 [get_ports o]
-
-set_property IOSTANDARD LVCMOS33 [get_ports i]
-set_property IOSTANDARD LVCMOS33 [get_ports o]