aboutsummaryrefslogtreecommitdiffstats
path: root/fpga_interchange/examples/tests
diff options
context:
space:
mode:
authorAlessandro Comodi <acomodi@antmicro.com>2021-03-16 21:49:06 +0100
committerAlessandro Comodi <acomodi@antmicro.com>2021-03-16 22:02:06 +0100
commitc1e668f8238141a7d19525e9eb7a23c17cd1b120 (patch)
tree522ac7362ca83cde34b04233fc7dcce75d24b666 /fpga_interchange/examples/tests
parentf9e9fadbc8ec794ed43f94d237fe4b889c5e13d8 (diff)
downloadnextpnr-c1e668f8238141a7d19525e9eb7a23c17cd1b120.tar.gz
nextpnr-c1e668f8238141a7d19525e9eb7a23c17cd1b120.tar.bz2
nextpnr-c1e668f8238141a7d19525e9eb7a23c17cd1b120.zip
fpga_interchange: address review comments
Signed-off-by: Alessandro Comodi <acomodi@antmicro.com>
Diffstat (limited to 'fpga_interchange/examples/tests')
-rw-r--r--fpga_interchange/examples/tests/const_wire/CMakeLists.txt2
-rw-r--r--fpga_interchange/examples/tests/counter/CMakeLists.txt2
-rw-r--r--fpga_interchange/examples/tests/ff/CMakeLists.txt2
-rw-r--r--fpga_interchange/examples/tests/lut/CMakeLists.txt2
-rw-r--r--fpga_interchange/examples/tests/wire/CMakeLists.txt2
5 files changed, 10 insertions, 0 deletions
diff --git a/fpga_interchange/examples/tests/const_wire/CMakeLists.txt b/fpga_interchange/examples/tests/const_wire/CMakeLists.txt
index 8a3c4375..ba013e47 100644
--- a/fpga_interchange/examples/tests/const_wire/CMakeLists.txt
+++ b/fpga_interchange/examples/tests/const_wire/CMakeLists.txt
@@ -1,5 +1,6 @@
add_interchange_test(
name const_wire_basys3
+ family ${family}
device xc7a35t
package cpg236
tcl run.tcl
@@ -9,6 +10,7 @@ add_interchange_test(
add_interchange_test(
name const_wire_arty
+ family ${family}
device xc7a35t
package csg324
tcl run.tcl
diff --git a/fpga_interchange/examples/tests/counter/CMakeLists.txt b/fpga_interchange/examples/tests/counter/CMakeLists.txt
index 0da62934..dc41d8da 100644
--- a/fpga_interchange/examples/tests/counter/CMakeLists.txt
+++ b/fpga_interchange/examples/tests/counter/CMakeLists.txt
@@ -1,5 +1,6 @@
add_interchange_test(
name counter_basys3
+ family ${family}
device xc7a35t
package cpg236
tcl run.tcl
@@ -10,6 +11,7 @@ add_interchange_test(
add_interchange_test(
name counter_arty
+ family ${family}
device xc7a35t
package csg324
tcl run.tcl
diff --git a/fpga_interchange/examples/tests/ff/CMakeLists.txt b/fpga_interchange/examples/tests/ff/CMakeLists.txt
index 953e6038..ccf16d44 100644
--- a/fpga_interchange/examples/tests/ff/CMakeLists.txt
+++ b/fpga_interchange/examples/tests/ff/CMakeLists.txt
@@ -1,5 +1,6 @@
add_interchange_test(
name ff_basys3
+ family ${family}
device xc7a35t
package cpg236
tcl run.tcl
@@ -9,6 +10,7 @@ add_interchange_test(
add_interchange_test(
name ff_arty
+ family ${family}
device xc7a35t
package csg324
tcl run.tcl
diff --git a/fpga_interchange/examples/tests/lut/CMakeLists.txt b/fpga_interchange/examples/tests/lut/CMakeLists.txt
index 47b6f389..f5503f71 100644
--- a/fpga_interchange/examples/tests/lut/CMakeLists.txt
+++ b/fpga_interchange/examples/tests/lut/CMakeLists.txt
@@ -1,5 +1,6 @@
add_interchange_test(
name lut_basys3
+ family ${family}
device xc7a35t
package cpg236
tcl run.tcl
@@ -9,6 +10,7 @@ add_interchange_test(
add_interchange_test(
name lut_arty
+ family ${family}
device xc7a35t
package csg324
tcl run.tcl
diff --git a/fpga_interchange/examples/tests/wire/CMakeLists.txt b/fpga_interchange/examples/tests/wire/CMakeLists.txt
index 9af3f0db..59faf402 100644
--- a/fpga_interchange/examples/tests/wire/CMakeLists.txt
+++ b/fpga_interchange/examples/tests/wire/CMakeLists.txt
@@ -1,5 +1,6 @@
add_interchange_test(
name wire_basys3
+ family ${family}
device xc7a35t
package cpg236
tcl run.tcl
@@ -9,6 +10,7 @@ add_interchange_test(
add_interchange_test(
name wire_arty
+ family ${family}
device xc7a35t
package csg324
tcl run.tcl