aboutsummaryrefslogtreecommitdiffstats
path: root/fpga_interchange/examples/tests
diff options
context:
space:
mode:
Diffstat (limited to 'fpga_interchange/examples/tests')
-rw-r--r--fpga_interchange/examples/tests/const_wire/CMakeLists.txt2
-rw-r--r--fpga_interchange/examples/tests/counter/CMakeLists.txt2
-rw-r--r--fpga_interchange/examples/tests/ff/CMakeLists.txt2
-rw-r--r--fpga_interchange/examples/tests/lut/CMakeLists.txt2
-rw-r--r--fpga_interchange/examples/tests/wire/CMakeLists.txt2
5 files changed, 10 insertions, 0 deletions
diff --git a/fpga_interchange/examples/tests/const_wire/CMakeLists.txt b/fpga_interchange/examples/tests/const_wire/CMakeLists.txt
index 8a3c4375..ba013e47 100644
--- a/fpga_interchange/examples/tests/const_wire/CMakeLists.txt
+++ b/fpga_interchange/examples/tests/const_wire/CMakeLists.txt
@@ -1,5 +1,6 @@
add_interchange_test(
name const_wire_basys3
+ family ${family}
device xc7a35t
package cpg236
tcl run.tcl
@@ -9,6 +10,7 @@ add_interchange_test(
add_interchange_test(
name const_wire_arty
+ family ${family}
device xc7a35t
package csg324
tcl run.tcl
diff --git a/fpga_interchange/examples/tests/counter/CMakeLists.txt b/fpga_interchange/examples/tests/counter/CMakeLists.txt
index 0da62934..dc41d8da 100644
--- a/fpga_interchange/examples/tests/counter/CMakeLists.txt
+++ b/fpga_interchange/examples/tests/counter/CMakeLists.txt
@@ -1,5 +1,6 @@
add_interchange_test(
name counter_basys3
+ family ${family}
device xc7a35t
package cpg236
tcl run.tcl
@@ -10,6 +11,7 @@ add_interchange_test(
add_interchange_test(
name counter_arty
+ family ${family}
device xc7a35t
package csg324
tcl run.tcl
diff --git a/fpga_interchange/examples/tests/ff/CMakeLists.txt b/fpga_interchange/examples/tests/ff/CMakeLists.txt
index 953e6038..ccf16d44 100644
--- a/fpga_interchange/examples/tests/ff/CMakeLists.txt
+++ b/fpga_interchange/examples/tests/ff/CMakeLists.txt
@@ -1,5 +1,6 @@
add_interchange_test(
name ff_basys3
+ family ${family}
device xc7a35t
package cpg236
tcl run.tcl
@@ -9,6 +10,7 @@ add_interchange_test(
add_interchange_test(
name ff_arty
+ family ${family}
device xc7a35t
package csg324
tcl run.tcl
diff --git a/fpga_interchange/examples/tests/lut/CMakeLists.txt b/fpga_interchange/examples/tests/lut/CMakeLists.txt
index 47b6f389..f5503f71 100644
--- a/fpga_interchange/examples/tests/lut/CMakeLists.txt
+++ b/fpga_interchange/examples/tests/lut/CMakeLists.txt
@@ -1,5 +1,6 @@
add_interchange_test(
name lut_basys3
+ family ${family}
device xc7a35t
package cpg236
tcl run.tcl
@@ -9,6 +10,7 @@ add_interchange_test(
add_interchange_test(
name lut_arty
+ family ${family}
device xc7a35t
package csg324
tcl run.tcl
diff --git a/fpga_interchange/examples/tests/wire/CMakeLists.txt b/fpga_interchange/examples/tests/wire/CMakeLists.txt
index 9af3f0db..59faf402 100644
--- a/fpga_interchange/examples/tests/wire/CMakeLists.txt
+++ b/fpga_interchange/examples/tests/wire/CMakeLists.txt
@@ -1,5 +1,6 @@
add_interchange_test(
name wire_basys3
+ family ${family}
device xc7a35t
package cpg236
tcl run.tcl
@@ -9,6 +10,7 @@ add_interchange_test(
add_interchange_test(
name wire_arty
+ family ${family}
device xc7a35t
package csg324
tcl run.tcl