aboutsummaryrefslogtreecommitdiffstats
path: root/fpga_interchange/luts.h
diff options
context:
space:
mode:
authorgatecat <gatecat@ds0.me>2021-07-29 12:02:45 +0100
committergatecat <gatecat@ds0.me>2021-07-29 12:52:13 +0100
commit4ac00af6fadc0405867fdac84229d2cda390c108 (patch)
tree5fa123c4054040796e9fe484f564f4d13fdeb5c6 /fpga_interchange/luts.h
parent0991003de9f3aa8870728ce70e5a247747eb302e (diff)
downloadnextpnr-4ac00af6fadc0405867fdac84229d2cda390c108.tar.gz
nextpnr-4ac00af6fadc0405867fdac84229d2cda390c108.tar.bz2
nextpnr-4ac00af6fadc0405867fdac84229d2cda390c108.zip
basectx: Add a field to store timing results
Signed-off-by: gatecat <gatecat@ds0.me>
Diffstat (limited to 'fpga_interchange/luts.h')
0 files changed, 0 insertions, 0 deletions