aboutsummaryrefslogtreecommitdiffstats
path: root/generic/examples/simtest.sh
diff options
context:
space:
mode:
authorDavid Shah <dave@ds0.me>2019-11-27 15:17:53 +0000
committerDavid Shah <dave@ds0.me>2019-11-27 15:17:53 +0000
commit2f56b989598def4682b29ccfe3bbe6f540e4e12a (patch)
treef6b1878164c1341511b69872bdabd32ed2ccf8f0 /generic/examples/simtest.sh
parent6562edc98e212516f466a70e949c71b2d48cc75d (diff)
downloadnextpnr-2f56b989598def4682b29ccfe3bbe6f540e4e12a.tar.gz
nextpnr-2f56b989598def4682b29ccfe3bbe6f540e4e12a.tar.bz2
nextpnr-2f56b989598def4682b29ccfe3bbe6f540e4e12a.zip
generic: Add support for post-PnR simulation
Signed-off-by: David Shah <dave@ds0.me>
Diffstat (limited to 'generic/examples/simtest.sh')
-rwxr-xr-xgeneric/examples/simtest.sh7
1 files changed, 7 insertions, 0 deletions
diff --git a/generic/examples/simtest.sh b/generic/examples/simtest.sh
new file mode 100755
index 00000000..ef328914
--- /dev/null
+++ b/generic/examples/simtest.sh
@@ -0,0 +1,7 @@
+#!/usr/bin/env bash
+set -ex
+yosys -p "tcl ../synth/synth_generic.tcl 4 blinky.json" blinky.v
+${NEXTPNR:-../../nextpnr-generic} --no-iobs --pre-pack simple.py --pre-place simple_timing.py --json blinky.json --post-route bitstream.py --write pnrblinky.json
+yosys -p "read_json pnrblinky.json; write_verilog -noattr -norename pnrblinky.v"
+iverilog -o blinky_simtest ../synth/prims.v blinky_tb.v pnrblinky.v
+vvp -N ./blinky_simtest