aboutsummaryrefslogtreecommitdiffstats
path: root/ice40/examples/blinky
diff options
context:
space:
mode:
authorDavid Shah <dave@ds0.me>2019-08-08 21:35:55 +0100
committerDavid Shah <dave@ds0.me>2019-08-08 21:35:55 +0100
commitc9969c15938a6146e058bb3991c58ce1cf81ea42 (patch)
tree7cbb23f795134e0efebba8a93cd091f7b5362504 /ice40/examples/blinky
parentdc565b11c793aa41f305a8c9707535ca30d5da59 (diff)
downloadnextpnr-c9969c15938a6146e058bb3991c58ce1cf81ea42.tar.gz
nextpnr-c9969c15938a6146e058bb3991c58ce1cf81ea42.tar.bz2
nextpnr-c9969c15938a6146e058bb3991c58ce1cf81ea42.zip
Add deprecation warning for default packages
Signed-off-by: David Shah <dave@ds0.me>
Diffstat (limited to 'ice40/examples/blinky')
-rwxr-xr-xice40/examples/blinky/blinky.sh2
1 files changed, 1 insertions, 1 deletions
diff --git a/ice40/examples/blinky/blinky.sh b/ice40/examples/blinky/blinky.sh
index 5dfc1ce8..604c8bf2 100755
--- a/ice40/examples/blinky/blinky.sh
+++ b/ice40/examples/blinky/blinky.sh
@@ -1,7 +1,7 @@
#!/bin/bash
set -ex
yosys blinky.ys
-../../../nextpnr-ice40 --json blinky.json --pcf blinky.pcf --asc blinky.asc
+../../../nextpnr-ice40 --hx1k --package tq144 --json blinky.json --pcf blinky.pcf --asc blinky.asc
icepack blinky.asc blinky.bin
icebox_vlog blinky.asc > blinky_chip.v
iverilog -o blinky_tb blinky_chip.v blinky_tb.v