aboutsummaryrefslogtreecommitdiffstats
path: root/machxo2/examples/blinky.v
diff options
context:
space:
mode:
authorWilliam D. Jones <thor0505@comcast.net>2020-11-21 18:42:30 -0500
committergatecat <gatecat@ds0.me>2021-02-12 10:36:59 +0000
commit1cde40792f2d8cf8d0799fec25c0418a0903547f (patch)
tree0a11a35f4d8af8776ffedd17a18b46fe33c927b4 /machxo2/examples/blinky.v
parentade94efbfff721ea94afb1408d0d502be990ec5d (diff)
downloadnextpnr-1cde40792f2d8cf8d0799fec25c0418a0903547f.tar.gz
nextpnr-1cde40792f2d8cf8d0799fec25c0418a0903547f.tar.bz2
nextpnr-1cde40792f2d8cf8d0799fec25c0418a0903547f.zip
machxo2: Improve examples directory.
Diffstat (limited to 'machxo2/examples/blinky.v')
-rw-r--r--machxo2/examples/blinky.v2
1 files changed, 1 insertions, 1 deletions
diff --git a/machxo2/examples/blinky.v b/machxo2/examples/blinky.v
index 42becb72..c7cde26d 100644
--- a/machxo2/examples/blinky.v
+++ b/machxo2/examples/blinky.v
@@ -1,4 +1,4 @@
-module top(input clk, rst, output reg [7:0] leds);
+module top(input clk, rst, output [7:0] leds);
reg [7:0] ctr;
always @(posedge clk)