aboutsummaryrefslogtreecommitdiffstats
path: root/machxo2/examples/blinky.v
diff options
context:
space:
mode:
Diffstat (limited to 'machxo2/examples/blinky.v')
-rw-r--r--machxo2/examples/blinky.v2
1 files changed, 1 insertions, 1 deletions
diff --git a/machxo2/examples/blinky.v b/machxo2/examples/blinky.v
index 42becb72..c7cde26d 100644
--- a/machxo2/examples/blinky.v
+++ b/machxo2/examples/blinky.v
@@ -1,4 +1,4 @@
-module top(input clk, rst, output reg [7:0] leds);
+module top(input clk, rst, output [7:0] leds);
reg [7:0] ctr;
always @(posedge clk)