aboutsummaryrefslogtreecommitdiffstats
path: root/ecp5/synth/ulx3s.v
diff options
context:
space:
mode:
Diffstat (limited to 'ecp5/synth/ulx3s.v')
-rw-r--r--ecp5/synth/ulx3s.v9
1 files changed, 4 insertions, 5 deletions
diff --git a/ecp5/synth/ulx3s.v b/ecp5/synth/ulx3s.v
index 7f0786f5..25535e35 100644
--- a/ecp5/synth/ulx3s.v
+++ b/ecp5/synth/ulx3s.v
@@ -3,14 +3,13 @@ module top(input a_pin, output led_pin, output gpio0_pin);
wire a;
wire led;
wire gpio0;
- (* BEL="X90/Y65/PIOB" *) (* IO_TYPE="LVCMOS33" *)
+ (* BEL="X6/Y0/PIOB" *) (* IO_TYPE="LVCMOS33" *)
TRELLIS_IO #(.DIR("INPUT")) a_buf (.B(a_pin), .O(a));
(* BEL="X0/Y23/PIOC" *) (* IO_TYPE="LVCMOS33" *)
- TRELLIS_IO #(.DIR("OUTPUT")) led_buf (.B(led_pin), .I(led), .T(t));
+ TRELLIS_IO #(.DIR("OUTPUT")) led_buf (.B(led_pin), .I(led));
(* BEL="X0/Y62/PIOD" *) (* IO_TYPE="LVCMOS33" *)
- TRELLIS_IO #(.DIR("OUTPUT")) gpio0_buf (.B(gpio0_pin), .I(gpio0), .T(t));
+ TRELLIS_IO #(.DIR("OUTPUT")) gpio0_buf (.B(gpio0_pin), .I(gpio0));
assign led = !a;
- wire t;
- TRELLIS_SLICE #(.MODE("LOGIC"), .LUT0_INITVAL(16'h0000)) gnd (.F0(t));
+
TRELLIS_SLICE #(.MODE("LOGIC"), .LUT0_INITVAL(16'hFFFF)) vcc (.F0(gpio0));
endmodule