aboutsummaryrefslogtreecommitdiffstats
path: root/fpga_interchange/examples/lut/lut.xdc
diff options
context:
space:
mode:
Diffstat (limited to 'fpga_interchange/examples/lut/lut.xdc')
-rw-r--r--fpga_interchange/examples/lut/lut.xdc5
1 files changed, 5 insertions, 0 deletions
diff --git a/fpga_interchange/examples/lut/lut.xdc b/fpga_interchange/examples/lut/lut.xdc
new file mode 100644
index 00000000..4f7e948b
--- /dev/null
+++ b/fpga_interchange/examples/lut/lut.xdc
@@ -0,0 +1,5 @@
+set_property PACKAGE_PIN N16 [get_ports i0]
+set_property PACKAGE_PIN N15 [get_ports i1]
+set_property PACKAGE_PIN M17 [get_ports o]
+
+#set_property IOSTANDARD LVCMOS33 [get_ports]