aboutsummaryrefslogtreecommitdiffstats
path: root/fpga_interchange/examples/lut/lut.xdc
diff options
context:
space:
mode:
authorKeith Rothman <537074+litghost@users.noreply.github.com>2021-02-16 12:24:15 -0800
committerKeith Rothman <537074+litghost@users.noreply.github.com>2021-02-17 12:03:16 -0800
commit5a7f83c705d6ea52e9e5bb7b182b32040d15a13a (patch)
tree7e9d54dca77f867e76d034923dba95e1f52980ef /fpga_interchange/examples/lut/lut.xdc
parent7c1544f4d8e5dc75d6d6a8cf973888f5c94bd1b9 (diff)
downloadnextpnr-5a7f83c705d6ea52e9e5bb7b182b32040d15a13a.tar.gz
nextpnr-5a7f83c705d6ea52e9e5bb7b182b32040d15a13a.tar.bz2
nextpnr-5a7f83c705d6ea52e9e5bb7b182b32040d15a13a.zip
Add examples invoking FPGA interchange nextpnr.
Signed-off-by: Keith Rothman <537074+litghost@users.noreply.github.com>
Diffstat (limited to 'fpga_interchange/examples/lut/lut.xdc')
-rw-r--r--fpga_interchange/examples/lut/lut.xdc5
1 files changed, 5 insertions, 0 deletions
diff --git a/fpga_interchange/examples/lut/lut.xdc b/fpga_interchange/examples/lut/lut.xdc
new file mode 100644
index 00000000..4f7e948b
--- /dev/null
+++ b/fpga_interchange/examples/lut/lut.xdc
@@ -0,0 +1,5 @@
+set_property PACKAGE_PIN N16 [get_ports i0]
+set_property PACKAGE_PIN N15 [get_ports i1]
+set_property PACKAGE_PIN M17 [get_ports o]
+
+#set_property IOSTANDARD LVCMOS33 [get_ports]