diff options
Diffstat (limited to 'fpga_interchange/examples/tests/counter/zybo.xdc')
-rw-r--r-- | fpga_interchange/examples/tests/counter/zybo.xdc | 14 |
1 files changed, 14 insertions, 0 deletions
diff --git a/fpga_interchange/examples/tests/counter/zybo.xdc b/fpga_interchange/examples/tests/counter/zybo.xdc new file mode 100644 index 00000000..e7764d52 --- /dev/null +++ b/fpga_interchange/examples/tests/counter/zybo.xdc @@ -0,0 +1,14 @@ +# zybo board +set_property PACKAGE_PIN K17 [get_ports clk] +set_property PACKAGE_PIN K18 [get_ports rst] +set_property PACKAGE_PIN M14 [get_ports io_led[4]] +set_property PACKAGE_PIN M15 [get_ports io_led[5]] +set_property PACKAGE_PIN G14 [get_ports io_led[6]] +set_property PACKAGE_PIN D18 [get_ports io_led[7]] + +set_property IOSTANDARD LVCMOS33 [get_ports clk] +set_property IOSTANDARD LVCMOS33 [get_ports rst] +set_property IOSTANDARD LVCMOS33 [get_ports io_led[4]] +set_property IOSTANDARD LVCMOS33 [get_ports io_led[5]] +set_property IOSTANDARD LVCMOS33 [get_ports io_led[6]] +set_property IOSTANDARD LVCMOS33 [get_ports io_led[7]] |