aboutsummaryrefslogtreecommitdiffstats
path: root/fpga_interchange/examples/tests
diff options
context:
space:
mode:
Diffstat (limited to 'fpga_interchange/examples/tests')
-rw-r--r--fpga_interchange/examples/tests/const_wire/CMakeLists.txt18
-rw-r--r--fpga_interchange/examples/tests/const_wire/arty100t.xdc (renamed from fpga_interchange/examples/tests/const_wire/wire_arty.xdc)8
-rw-r--r--fpga_interchange/examples/tests/const_wire/arty35t.xdc9
-rw-r--r--fpga_interchange/examples/tests/const_wire/basys3.xdc (renamed from fpga_interchange/examples/tests/const_wire/wire_basys3.xdc)0
-rw-r--r--fpga_interchange/examples/tests/counter/CMakeLists.txt3
-rw-r--r--fpga_interchange/examples/tests/counter/zybo.xdc14
-rw-r--r--fpga_interchange/examples/tests/ff/CMakeLists.txt19
-rw-r--r--fpga_interchange/examples/tests/ff/arty100t.xdc (renamed from fpga_interchange/examples/tests/ff/ff_arty.xdc)8
-rw-r--r--fpga_interchange/examples/tests/ff/arty35t.xdc9
-rw-r--r--fpga_interchange/examples/tests/ff/basys3.xdc (renamed from fpga_interchange/examples/tests/ff/ff_basys3.xdc)0
-rw-r--r--fpga_interchange/examples/tests/lut/CMakeLists.txt19
-rw-r--r--fpga_interchange/examples/tests/lut/arty100t.xdc (renamed from fpga_interchange/examples/tests/lut/lut_arty.xdc)6
-rw-r--r--fpga_interchange/examples/tests/lut/arty35t.xdc7
-rw-r--r--fpga_interchange/examples/tests/lut/basys3.xdc (renamed from fpga_interchange/examples/tests/lut/lut_basys3.xdc)0
-rw-r--r--fpga_interchange/examples/tests/ram/CMakeLists.txt8
-rw-r--r--fpga_interchange/examples/tests/wire/CMakeLists.txt1
16 files changed, 67 insertions, 62 deletions
diff --git a/fpga_interchange/examples/tests/const_wire/CMakeLists.txt b/fpga_interchange/examples/tests/const_wire/CMakeLists.txt
index ba013e47..3fff5fbb 100644
--- a/fpga_interchange/examples/tests/const_wire/CMakeLists.txt
+++ b/fpga_interchange/examples/tests/const_wire/CMakeLists.txt
@@ -1,19 +1,7 @@
-add_interchange_test(
- name const_wire_basys3
+add_interchange_group_test(
+ name const_wire
family ${family}
- device xc7a35t
- package cpg236
+ board_list basys3 arty35t arty100t
tcl run.tcl
- xdc wire_basys3.xdc
- sources wire.v
-)
-
-add_interchange_test(
- name const_wire_arty
- family ${family}
- device xc7a35t
- package csg324
- tcl run.tcl
- xdc wire_arty.xdc
sources wire.v
)
diff --git a/fpga_interchange/examples/tests/const_wire/wire_arty.xdc b/fpga_interchange/examples/tests/const_wire/arty100t.xdc
index 0d96fc45..a6e69de5 100644
--- a/fpga_interchange/examples/tests/const_wire/wire_arty.xdc
+++ b/fpga_interchange/examples/tests/const_wire/arty100t.xdc
@@ -1,7 +1,7 @@
-set_property PACKAGE_PIN N15 [get_ports o]
-set_property PACKAGE_PIN N16 [get_ports o2]
-set_property PACKAGE_PIN P17 [get_ports o3]
-set_property PACKAGE_PIN R17 [get_ports o4]
+set_property PACKAGE_PIN H5 [get_ports o]
+set_property PACKAGE_PIN J5 [get_ports o2]
+set_property PACKAGE_PIN T9 [get_ports o3]
+set_property PACKAGE_PIN T10 [get_ports o4]
set_property IOSTANDARD LVCMOS33 [get_ports o]
set_property IOSTANDARD LVCMOS33 [get_ports o2]
diff --git a/fpga_interchange/examples/tests/const_wire/arty35t.xdc b/fpga_interchange/examples/tests/const_wire/arty35t.xdc
new file mode 100644
index 00000000..a6e69de5
--- /dev/null
+++ b/fpga_interchange/examples/tests/const_wire/arty35t.xdc
@@ -0,0 +1,9 @@
+set_property PACKAGE_PIN H5 [get_ports o]
+set_property PACKAGE_PIN J5 [get_ports o2]
+set_property PACKAGE_PIN T9 [get_ports o3]
+set_property PACKAGE_PIN T10 [get_ports o4]
+
+set_property IOSTANDARD LVCMOS33 [get_ports o]
+set_property IOSTANDARD LVCMOS33 [get_ports o2]
+set_property IOSTANDARD LVCMOS33 [get_ports o3]
+set_property IOSTANDARD LVCMOS33 [get_ports o4]
diff --git a/fpga_interchange/examples/tests/const_wire/wire_basys3.xdc b/fpga_interchange/examples/tests/const_wire/basys3.xdc
index f8435580..f8435580 100644
--- a/fpga_interchange/examples/tests/const_wire/wire_basys3.xdc
+++ b/fpga_interchange/examples/tests/const_wire/basys3.xdc
diff --git a/fpga_interchange/examples/tests/counter/CMakeLists.txt b/fpga_interchange/examples/tests/counter/CMakeLists.txt
index 2f1509c2..38c104ff 100644
--- a/fpga_interchange/examples/tests/counter/CMakeLists.txt
+++ b/fpga_interchange/examples/tests/counter/CMakeLists.txt
@@ -1,8 +1,9 @@
add_interchange_group_test(
name counter
family ${family}
- board_list basys3 arty35t arty100t
+ board_list basys3 arty35t arty100t zybo
tcl run.tcl
sources counter.v
techmap ../../remap.v
+ output_fasm
)
diff --git a/fpga_interchange/examples/tests/counter/zybo.xdc b/fpga_interchange/examples/tests/counter/zybo.xdc
new file mode 100644
index 00000000..e7764d52
--- /dev/null
+++ b/fpga_interchange/examples/tests/counter/zybo.xdc
@@ -0,0 +1,14 @@
+# zybo board
+set_property PACKAGE_PIN K17 [get_ports clk]
+set_property PACKAGE_PIN K18 [get_ports rst]
+set_property PACKAGE_PIN M14 [get_ports io_led[4]]
+set_property PACKAGE_PIN M15 [get_ports io_led[5]]
+set_property PACKAGE_PIN G14 [get_ports io_led[6]]
+set_property PACKAGE_PIN D18 [get_ports io_led[7]]
+
+set_property IOSTANDARD LVCMOS33 [get_ports clk]
+set_property IOSTANDARD LVCMOS33 [get_ports rst]
+set_property IOSTANDARD LVCMOS33 [get_ports io_led[4]]
+set_property IOSTANDARD LVCMOS33 [get_ports io_led[5]]
+set_property IOSTANDARD LVCMOS33 [get_ports io_led[6]]
+set_property IOSTANDARD LVCMOS33 [get_ports io_led[7]]
diff --git a/fpga_interchange/examples/tests/ff/CMakeLists.txt b/fpga_interchange/examples/tests/ff/CMakeLists.txt
index ccf16d44..e119b7c3 100644
--- a/fpga_interchange/examples/tests/ff/CMakeLists.txt
+++ b/fpga_interchange/examples/tests/ff/CMakeLists.txt
@@ -1,19 +1,8 @@
-add_interchange_test(
- name ff_basys3
+add_interchange_group_test(
+ name ff
family ${family}
- device xc7a35t
- package cpg236
+ board_list basys3 arty35t arty100t
tcl run.tcl
- xdc ff_basys3.xdc
- sources ff.v
-)
-
-add_interchange_test(
- name ff_arty
- family ${family}
- device xc7a35t
- package csg324
- tcl run.tcl
- xdc ff_arty.xdc
sources ff.v
+ output_fasm
)
diff --git a/fpga_interchange/examples/tests/ff/ff_arty.xdc b/fpga_interchange/examples/tests/ff/arty100t.xdc
index 3c132f1d..29456f2a 100644
--- a/fpga_interchange/examples/tests/ff/ff_arty.xdc
+++ b/fpga_interchange/examples/tests/ff/arty100t.xdc
@@ -1,7 +1,7 @@
-set_property PACKAGE_PIN P17 [get_ports clk]
-set_property PACKAGE_PIN N15 [get_ports d]
-set_property PACKAGE_PIN N16 [get_ports r]
-set_property PACKAGE_PIN M17 [get_ports q]
+set_property PACKAGE_PIN E3 [get_ports clk]
+set_property PACKAGE_PIN A8 [get_ports d]
+set_property PACKAGE_PIN D9 [get_ports r]
+set_property PACKAGE_PIN H5 [get_ports q]
set_property IOSTANDARD LVCMOS33 [get_ports clk]
set_property IOSTANDARD LVCMOS33 [get_ports d]
diff --git a/fpga_interchange/examples/tests/ff/arty35t.xdc b/fpga_interchange/examples/tests/ff/arty35t.xdc
new file mode 100644
index 00000000..29456f2a
--- /dev/null
+++ b/fpga_interchange/examples/tests/ff/arty35t.xdc
@@ -0,0 +1,9 @@
+set_property PACKAGE_PIN E3 [get_ports clk]
+set_property PACKAGE_PIN A8 [get_ports d]
+set_property PACKAGE_PIN D9 [get_ports r]
+set_property PACKAGE_PIN H5 [get_ports q]
+
+set_property IOSTANDARD LVCMOS33 [get_ports clk]
+set_property IOSTANDARD LVCMOS33 [get_ports d]
+set_property IOSTANDARD LVCMOS33 [get_ports r]
+set_property IOSTANDARD LVCMOS33 [get_ports q]
diff --git a/fpga_interchange/examples/tests/ff/ff_basys3.xdc b/fpga_interchange/examples/tests/ff/basys3.xdc
index ef65112a..ef65112a 100644
--- a/fpga_interchange/examples/tests/ff/ff_basys3.xdc
+++ b/fpga_interchange/examples/tests/ff/basys3.xdc
diff --git a/fpga_interchange/examples/tests/lut/CMakeLists.txt b/fpga_interchange/examples/tests/lut/CMakeLists.txt
index f5503f71..77a4b4da 100644
--- a/fpga_interchange/examples/tests/lut/CMakeLists.txt
+++ b/fpga_interchange/examples/tests/lut/CMakeLists.txt
@@ -1,19 +1,8 @@
-add_interchange_test(
- name lut_basys3
+add_interchange_group_test(
+ name lut
family ${family}
- device xc7a35t
- package cpg236
+ board_list basys3 arty35t arty100t
tcl run.tcl
- xdc lut_basys3.xdc
- sources lut.v
-)
-
-add_interchange_test(
- name lut_arty
- family ${family}
- device xc7a35t
- package csg324
- tcl run.tcl
- xdc lut_arty.xdc
sources lut.v
+ output_fasm
)
diff --git a/fpga_interchange/examples/tests/lut/lut_arty.xdc b/fpga_interchange/examples/tests/lut/arty100t.xdc
index 4f390f25..1dba6574 100644
--- a/fpga_interchange/examples/tests/lut/lut_arty.xdc
+++ b/fpga_interchange/examples/tests/lut/arty100t.xdc
@@ -1,6 +1,6 @@
-set_property PACKAGE_PIN N16 [get_ports i0]
-set_property PACKAGE_PIN N15 [get_ports i1]
-set_property PACKAGE_PIN M17 [get_ports o]
+set_property PACKAGE_PIN A8 [get_ports i0]
+set_property PACKAGE_PIN C11 [get_ports i1]
+set_property PACKAGE_PIN H5 [get_ports o]
set_property IOSTANDARD LVCMOS33 [get_ports i0]
set_property IOSTANDARD LVCMOS33 [get_ports i1]
diff --git a/fpga_interchange/examples/tests/lut/arty35t.xdc b/fpga_interchange/examples/tests/lut/arty35t.xdc
new file mode 100644
index 00000000..1dba6574
--- /dev/null
+++ b/fpga_interchange/examples/tests/lut/arty35t.xdc
@@ -0,0 +1,7 @@
+set_property PACKAGE_PIN A8 [get_ports i0]
+set_property PACKAGE_PIN C11 [get_ports i1]
+set_property PACKAGE_PIN H5 [get_ports o]
+
+set_property IOSTANDARD LVCMOS33 [get_ports i0]
+set_property IOSTANDARD LVCMOS33 [get_ports i1]
+set_property IOSTANDARD LVCMOS33 [get_ports o]
diff --git a/fpga_interchange/examples/tests/lut/lut_basys3.xdc b/fpga_interchange/examples/tests/lut/basys3.xdc
index aef287ee..aef287ee 100644
--- a/fpga_interchange/examples/tests/lut/lut_basys3.xdc
+++ b/fpga_interchange/examples/tests/lut/basys3.xdc
diff --git a/fpga_interchange/examples/tests/ram/CMakeLists.txt b/fpga_interchange/examples/tests/ram/CMakeLists.txt
index 4625edb3..56db4870 100644
--- a/fpga_interchange/examples/tests/ram/CMakeLists.txt
+++ b/fpga_interchange/examples/tests/ram/CMakeLists.txt
@@ -1,10 +1,8 @@
-add_interchange_test(
- name ram_basys3
+add_interchange_group_test(
+ name ram
family ${family}
- device xc7a35t
- package cpg236
+ board_list basys3
tcl run.tcl
- xdc basys3.xdc
sources ram.v
)
diff --git a/fpga_interchange/examples/tests/wire/CMakeLists.txt b/fpga_interchange/examples/tests/wire/CMakeLists.txt
index 7b6567ae..6308a6e9 100644
--- a/fpga_interchange/examples/tests/wire/CMakeLists.txt
+++ b/fpga_interchange/examples/tests/wire/CMakeLists.txt
@@ -4,4 +4,5 @@ add_interchange_group_test(
board_list basys3 arty35t zybo arty100t nexys_video
tcl run.tcl
sources wire.v
+ output_fasm
)