aboutsummaryrefslogtreecommitdiffstats
path: root/fpga_interchange/examples/tests/wire/basys3.xdc
diff options
context:
space:
mode:
Diffstat (limited to 'fpga_interchange/examples/tests/wire/basys3.xdc')
-rw-r--r--fpga_interchange/examples/tests/wire/basys3.xdc5
1 files changed, 5 insertions, 0 deletions
diff --git a/fpga_interchange/examples/tests/wire/basys3.xdc b/fpga_interchange/examples/tests/wire/basys3.xdc
new file mode 100644
index 00000000..317d5acc
--- /dev/null
+++ b/fpga_interchange/examples/tests/wire/basys3.xdc
@@ -0,0 +1,5 @@
+set_property PACKAGE_PIN V17 [get_ports i]
+set_property PACKAGE_PIN U16 [get_ports o]
+
+set_property IOSTANDARD LVCMOS33 [get_ports i]
+set_property IOSTANDARD LVCMOS33 [get_ports o]