aboutsummaryrefslogtreecommitdiffstats
path: root/fpga_interchange/examples/tests/wire/wire_arty.xdc
diff options
context:
space:
mode:
Diffstat (limited to 'fpga_interchange/examples/tests/wire/wire_arty.xdc')
-rw-r--r--fpga_interchange/examples/tests/wire/wire_arty.xdc4
1 files changed, 2 insertions, 2 deletions
diff --git a/fpga_interchange/examples/tests/wire/wire_arty.xdc b/fpga_interchange/examples/tests/wire/wire_arty.xdc
index c923f0fc..54c661c9 100644
--- a/fpga_interchange/examples/tests/wire/wire_arty.xdc
+++ b/fpga_interchange/examples/tests/wire/wire_arty.xdc
@@ -1,5 +1,5 @@
-set_property PACKAGE_PIN N16 [get_ports i]
-set_property PACKAGE_PIN N15 [get_ports o]
+set_property PACKAGE_PIN A8 [get_ports i]
+set_property PACKAGE_PIN H5 [get_ports o]
set_property IOSTANDARD LVCMOS33 [get_ports i]
set_property IOSTANDARD LVCMOS33 [get_ports o]