aboutsummaryrefslogtreecommitdiffstats
path: root/ecp5
Commit message (Expand)AuthorAgeFilesLines
* ecp5: Fix invalid accesses during certain IO packing casesgatecat2023-04-121-0/+2
* cmake: Make HeAP placer always-enabledgatecat2023-03-171-10/+2
* ecp5: Update GUI rendering to match arch changesgatecat2023-03-161-25/+81
* clangformatgatecat2023-03-161-66/+42
* Merge pull request #1090 from rowanG077/ecp5-propagate-dcsc-clk-ctmyrtle2023-02-131-12/+156
|\
| * streamline constant_net detectionrowanG0772023-02-061-2/+4
| * ecp5: DSCS clock propagation if modesel is 0 constantrowanG0772023-02-061-52/+99
| * ecp5: Propagate clock constraints through DSCSrowanG0772023-02-011-12/+107
* | ecp5: Handle the case where both CE are the same constantgatecat2023-02-091-2/+8
* | ecp5: LOCATE in LPF works on singleton vectorrowanG0772023-01-311-1/+8
|/
* Merge pull request #1084 from YosysHQ/gatecat/ecp5-ioff-fixmyrtle2023-01-271-8/+24
|\
| * ecp5: Improve IOFF CE handling robustnessgatecat2023-01-251-8/+24
* | clangformatgatecat2023-01-251-62/+38
|/
* Add missing <set> includesgatecat2023-01-202-3/+4
* Add remapping of DSP clk/ce/rst signals in a block.Adam Greig2023-01-044-0/+280
* Include ALU54B in cell types with wire location overridesAdam Greig2023-01-041-1/+1
* ecp5: Improve error handling for missing end-"gatecat2023-01-021-9/+10
* Remove deprecated functionsMiodrag Milanovic2022-12-221-3/+3
* ecp5: Only write bitstream if --textcfg passedgatecat2022-12-171-5/+4
* api: add explain_invalid option to isBelLocationValidgatecat2022-12-072-2/+2
* refactor: ArcBounds -> BoundingBoxgatecat2022-12-072-3/+3
* api: Make NetInfo* of checkPipAvailForNet constgatecat2022-12-021-1/+1
* ecp5: Fix Python bindings for pip iteratorsgatecat2022-11-281-2/+2
* ecp5: Split bitstream generation into more functionsgatecat2022-09-151-1347/+1402
* Use CMake's Python3 rather than PythonInterp in subdirsAdam Sampson2022-08-211-2/+2
* refactor: Use IdString::in instead of || chainsgatecat2022-08-109-123/+89
* refactor: id(stringf(...)) to new idf(...) helpergatecat2022-08-102-5/+5
* clangformatgatecat2022-06-121-1/+1
* ecp5: Bind write_bitstream to Pythongatecat2022-06-091-0/+3
* ecp5: Tweak delay predictiongatecat2022-04-201-1/+1
* ecp5: Split the SLICE bel into separate LUT/FF/RAMW belsgatecat2022-04-0712-1348/+1136
* ecp5: Fix double-counting of FFs in reportgatecat2022-03-161-1/+1
* ecp5: accept lowercase characters in hex strings.Maya2022-03-111-1/+1
* ecp5: verify hex strings contain only valid characters.Maya2022-03-111-1/+6
* Merge pull request #925 from YosysHQ/gatecat/netlist-ivgatecat2022-03-013-46/+39
|\
| * Switch to potentially-sparse net users arraygatecat2022-02-273-46/+39
* | ecp5: Fix PDPW16K clock param renaminggatecat2022-02-281-1/+1
|/
* refactor: New member functions to replace design_utilsgatecat2022-02-185-238/+238
* refactor: Use constids instead of id("..")gatecat2022-02-1611-1318/+1674
* refactor: Use cell member functions to add portsgatecat2022-02-161-85/+79
* refactor: New NetInfo and CellInfo constructorsgatecat2022-02-163-63/+36
* archapi: Use arbitrary rather than actual placement in predictDelaygatecat2021-12-192-14/+9
* ecp5: LUT permutation supportgatecat2021-12-137-7/+117
* ecp5: Reduce some chipdb fields sizesMatt Johnston2021-12-132-14/+15
* clangformatgatecat2021-12-121-4/+6
* ecp5: Use a vector rather than dictMatt Johnston2021-12-123-14/+106
* ecp5: Fix packing of IOFF with IODELAYsgatecat2021-11-052-3/+11
* Fix mistype.YRabbit2021-09-291-1/+1
* clangformatgatecat2021-08-241-5/+5
* Merge pull request #798 from kleinai/extref-locgatecat2021-08-191-6/+44
|\