aboutsummaryrefslogtreecommitdiffstats
path: root/fpga_interchange/examples
Commit message (Collapse)AuthorAgeFilesLines
* Merge pull request #637 from litghost/refine_site_routergatecat2021-03-224-16/+127
|\ | | | | Refine site router
| * Add missing dependencies to CMake targets.Keith Rothman2021-03-224-16/+127
| | | | | | | | | | | | | | - Add additional targets useful for various situations. - Have counter test use common remap.v file. Signed-off-by: Keith Rothman <537074+litghost@users.noreply.github.com>
* | Add getBelPinType to Python interface.Keith Rothman2021-03-221-0/+4
|/ | | | Signed-off-by: Keith Rothman <537074+litghost@users.noreply.github.com>
* fpga_interchange: temporarily disable failing testAlessandro Comodi2021-03-171-1/+2
| | | | Signed-off-by: Alessandro Comodi <acomodi@antmicro.com>
* fpga_interchange: minor fixes and comments additionAlessandro Comodi2021-03-163-22/+57
| | | | Signed-off-by: Alessandro Comodi <acomodi@antmicro.com>
* fpga_interchange: address review commentsAlessandro Comodi2021-03-168-16/+91
| | | | Signed-off-by: Alessandro Comodi <acomodi@antmicro.com>
* github-actions: use capnp v0.8.0Alessandro Comodi2021-03-161-1/+1
| | | | | | This also updates the note in the README for the FPGA interchange Signed-off-by: Alessandro Comodi <acomodi@antmicro.com>
* fpga_interchange: re-add README with updated instructionsAlessandro Comodi2021-03-161-0/+69
| | | | Signed-off-by: Alessandro Comodi <acomodi@antmicro.com>
* fpga_interchange: tests: add techmap optional source fileAlessandro Comodi2021-03-164-3/+19
| | | | Signed-off-by: Alessandro Comodi <acomodi@antmicro.com>
* fpga_interchange: add bbasm step and archcheckAlessandro Comodi2021-03-166-41/+76
| | | | Signed-off-by: Alessandro Comodi <acomodi@antmicro.com>
* fpga_interchange: address review commentsAlessandro Comodi2021-03-163-32/+83
| | | | Signed-off-by: Alessandro Comodi <acomodi@antmicro.com>
* fpga_interchange: tests: added comment and fixed XDCAlessandro Comodi2021-03-1616-29/+74
| | | | Signed-off-by: Alessandro Comodi <acomodi@antmicro.com>
* fpga_interchange: chipdb: use generic patching functionAlessandro Comodi2021-03-162-41/+95
| | | | | | Also moved the RapidWright invocation script path under a CMake variable Signed-off-by: Alessandro Comodi <acomodi@antmicro.com>
* fpga_interchange: cmake: generate only one device familyAlessandro Comodi2021-03-169-49/+72
| | | | Signed-off-by: Alessandro Comodi <acomodi@antmicro.com>
* fpga_interchange: tests: add cmake functionsAlessandro Comodi2021-03-1627-50/+215
| | | | | | Also move all tests in a tests directory Signed-off-by: Alessandro Comodi <acomodi@antmicro.com>
* fpga_intrchange: add cmake infrastructure to generate chipdbsAlessandro Comodi2021-03-165-133/+115
| | | | Signed-off-by: Alessandro Comodi <acomodi@antmicro.com>
* Add counter test.Keith Rothman2021-02-265-0/+71
| | | | Signed-off-by: Keith Rothman <537074+litghost@users.noreply.github.com>
* Working FF example now that constant merging is done.Keith Rothman2021-02-232-0/+21
| | | | Signed-off-by: Keith Rothman <537074+litghost@users.noreply.github.com>
* Add initial logic for handling dedicated interconnect situations.Keith Rothman2021-02-234-0/+42
| | | | Signed-off-by: Keith Rothman <537074+litghost@users.noreply.github.com>
* Move RapidWright git URI back to upstream.Keith Rothman2021-02-231-5/+1
| | | | Signed-off-by: Keith Rothman <537074+litghost@users.noreply.github.com>
* Initial working constant network support!Keith Rothman2021-02-232-1/+7
| | | | Signed-off-by: Keith Rothman <537074+litghost@users.noreply.github.com>
* Add constant network test case.Keith Rothman2021-02-235-0/+42
| | | | Signed-off-by: Keith Rothman <537074+litghost@users.noreply.github.com>
* Add tests to confirm constant routing import.Keith Rothman2021-02-232-0/+36
| | | | Signed-off-by: Keith Rothman <537074+litghost@users.noreply.github.com>
* Correct some bugs in the create_bba Makefile.Keith Rothman2021-02-231-3/+9
| | | | | | Also add debug_test target to debug archcheck. Signed-off-by: Keith Rothman <537074+litghost@users.noreply.github.com>
* Update README's with latest instructions and features.Keith Rothman2021-02-182-1/+41
| | | | Signed-off-by: Keith Rothman <537074+litghost@users.noreply.github.com>
* Add utility targets for getting plain text outputs.Keith Rothman2021-02-171-1/+5
| | | | Signed-off-by: Keith Rothman <537074+litghost@users.noreply.github.com>
* Add IOSTANDARD to ports.Keith Rothman2021-02-171-1/+3
| | | | Signed-off-by: Keith Rothman <537074+litghost@users.noreply.github.com>
* Keep all build artifacts under create_bba/build.Keith Rothman2021-02-172-4/+5
| | | | Signed-off-by: Keith Rothman <537074+litghost@users.noreply.github.com>
* Change makefiles to build a FPGA interchange BBA.Keith Rothman2021-02-174-16/+106
| | | | Signed-off-by: Keith Rothman <537074+litghost@users.noreply.github.com>
* Add examples invoking FPGA interchange nextpnr.Keith Rothman2021-02-1711-0/+152
Signed-off-by: Keith Rothman <537074+litghost@users.noreply.github.com>