aboutsummaryrefslogtreecommitdiffstats
path: root/ice40
Commit message (Expand)AuthorAgeFilesLines
* Add route-ripup routing loopClifford Wolf2018-06-142-7/+13
* Refactor position/delay estimation APIClifford Wolf2018-06-143-34/+17
* Drastically reduce number of linker symbols in chipdbClifford Wolf2018-06-131-18/+40
* ice40: Rename ICESTORM_RAM pinsDavid Shah2018-06-132-3/+56
* Add picorv32_top module with fewer IO pinsClifford Wolf2018-06-132-1/+32
* Add missing iCE40 global buffer belsClifford Wolf2018-06-131-0/+18
* Add test PicoRV32 build scriptClifford Wolf2018-06-132-0/+7
* Merge branch 'master' of gitlab.com:SymbioticEDA/nextpnrClifford Wolf2018-06-132-3/+38
|\
| * ice40: Pack RAMsDavid Shah2018-06-133-8/+46
* | Add A*-like optimizations to routerClifford Wolf2018-06-135-9/+28
|/
* ice40: Promote one clock to a global bufferDavid Shah2018-06-133-1/+61
* Add hierarchy to bel/wire/pip namesClifford Wolf2018-06-133-17/+28
* Fixing implementation of constantsDavid Shah2018-06-133-8/+52
* ice40: Update examples to use packer/pcfDavid Shah2018-06-1310-398/+37
* Update chip Graphics APIClifford Wolf2018-06-132-18/+24
* Merge branch 'master' of gitlab.com:SymbioticEDA/nextpnrClifford Wolf2018-06-1311-242/+306
|\
| * ice40: Add a PCF parserDavid Shah2018-06-137-171/+131
| * ice40: Add package selectionDavid Shah2018-06-133-3/+25
| * ice40: Add package pins to databaseDavid Shah2018-06-132-3/+52
| * Simple IO buffer insertion, enable packer by defaultDavid Shah2018-06-134-33/+65
| * Remove IO buffers when fed by SB_IODavid Shah2018-06-133-1/+63
| * Write tests to replace -test option from mainMiodrag Milanovic2018-06-121-61/+0
| * reveresed logic for enabling main file, and made tests link arch filesMiodrag Milanovic2018-06-121-1/+1
* | Redesign PosInfo APIClifford Wolf2018-06-132-12/+34
|/
* Merge branch 'master' of gitlab.com:SymbioticEDA/nextpnrClifford Wolf2018-06-123-11/+85
|\
| * Improve packer diagnosticsDavid Shah2018-06-121-1/+4
| * ice40: Add support for LC placement constraints in packerDavid Shah2018-06-123-10/+81
* | Add fast IdString <-> PortPin conversionClifford Wolf2018-06-122-14/+13
|/
* Add IdString APIClifford Wolf2018-06-123-8/+11
* Merge branch 'master' of gitlab.com:SymbioticEDA/nextpnrDavid Shah2018-06-1213-7/+63
|\
| * Merge branch 'master' of gitlab.com:SymbioticEDA/nextpnrClifford Wolf2018-06-124-11/+19
| |\
| * | Fix NEXTPNR_NAMESPACEClifford Wolf2018-06-125-6/+22
| * | Merge branch 'master' of gitlab.com:SymbioticEDA/nextpnrClifford Wolf2018-06-1216-10/+569
| |\ \
| * | | Add nextpnr namespaceClifford Wolf2018-06-129-7/+47
* | | | ice40: Testing the placement validity checkDavid Shah2018-06-122-1/+28
| |_|/ |/| |
* | | ice40: Debugging and fixing FF configurationDavid Shah2018-06-124-11/+19
| |/ |/|
* | ice40: Creating packer testsDavid Shah2018-06-124-0/+233
* | Implement the placement validity checkerDavid Shah2018-06-121-1/+1
* | ice40: Adding a placement validity checkerDavid Shah2018-06-125-6/+148
* | ice40: Pack constants to LCsDavid Shah2018-06-124-8/+35
* | ice40: Debugging the packerDavid Shah2018-06-124-3/+36
* | ice40: Start working on a packer, currently not testedDavid Shah2018-06-124-0/+124
|/
* ice40: Adding cell utilities for packingDavid Shah2018-06-122-0/+164
* ice40: Optimising chipdb buildsDavid Shah2018-06-121-0/+1
* Add "nextpnr.h"Clifford Wolf2018-06-116-10/+9
* Remove pool, dict, vector namespace aliasesClifford Wolf2018-06-113-26/+27
* Add conflicting=false argument to bind gettersClifford Wolf2018-06-111-3/+4
* Fixed portability issue, now it works on msys2 windows build as wellMiodrag Milanovic2018-06-111-2/+3
* Pass design to gui, display chip nameMiodrag Milanovic2018-06-103-1/+32
* Improving 5k supportDavid Shah2018-06-104-22/+59