diff options
author | Eddie Hung <eddie@fpgeh.com> | 2019-04-18 23:07:16 -0700 |
---|---|---|
committer | Eddie Hung <eddie@fpgeh.com> | 2019-04-18 23:07:16 -0700 |
commit | 19b660ff6e8b493ff3de43ce59e393c56b9a6b3b (patch) | |
tree | fcc2c58996d329bf79ce1a0e0b3b653a72c1dcf2 /techlibs/ice40 | |
parent | 0919f36b88bed88e6dbfa23381540dc8ee035962 (diff) | |
download | yosys-19b660ff6e8b493ff3de43ce59e393c56b9a6b3b.tar.gz yosys-19b660ff6e8b493ff3de43ce59e393c56b9a6b3b.tar.bz2 yosys-19b660ff6e8b493ff3de43ce59e393c56b9a6b3b.zip |
Fix SB_DFF comb model
Diffstat (limited to 'techlibs/ice40')
-rw-r--r-- | techlibs/ice40/cells_sim.v | 2 | ||||
-rw-r--r-- | techlibs/ice40/synth_ice40.cc | 4 |
2 files changed, 3 insertions, 3 deletions
diff --git a/techlibs/ice40/cells_sim.v b/techlibs/ice40/cells_sim.v index 1d104c5d7..c49b29ab3 100644 --- a/techlibs/ice40/cells_sim.v +++ b/techlibs/ice40/cells_sim.v @@ -143,7 +143,7 @@ module SB_DFF ((* abc_flop_q *) output `SB_DFF_REG, input C, (* abc_flop_d *) in always @(posedge C) Q <= D; `else - assign Q = D; + always @* Q = D; `endif endmodule diff --git a/techlibs/ice40/synth_ice40.cc b/techlibs/ice40/synth_ice40.cc index 84abf7181..d5d354701 100644 --- a/techlibs/ice40/synth_ice40.cc +++ b/techlibs/ice40/synth_ice40.cc @@ -240,7 +240,7 @@ struct SynthIce40Pass : public ScriptPass { if (check_label("begin")) { - run("read_verilog -wb +/ice40/cells_sim.v"); + run("read_verilog -wb -D ABC_FLOPS +/ice40/cells_sim.v"); run(stringf("hierarchy -check %s", help_mode ? "-top <top>" : top_opt.c_str())); run("proc"); } @@ -293,7 +293,7 @@ struct SynthIce40Pass : public ScriptPass run("techmap"); else run("techmap -map +/techmap.v -map +/ice40/arith_map.v"); - if (retime || help_mode) + if ((retime || help_mode) && abc != "abc9") run(abc + " -dff", "(only if -retime)"); run("ice40_opt"); } |